Welcome![Sign In][Sign Up]
Location:
Search - multiplier

Search list

[Othermultiplier

Description: .v files for multiplier
Platform: | Size: 15360 | Author: Ifrah | Hits:

[VHDL-FPGA-Verilogfpu_v19

Description: Floating Point Multiplier in VHDL
Platform: | Size: 343040 | Author: shanmuga raja | Hits:

[OtherMultiplier

Description: A multiplier unit in VHDL
Platform: | Size: 1024 | Author: Sonali | Hits:

[VHDL-FPGA-Verilogmultiplier

Description: this a multiplier in VHDL-this is a multiplier in VHDL
Platform: | Size: 1024 | Author: ali | Hits:

[VHDL-FPGA-Verilog16bit_mult

Description: 16位的无符号数乘法器,自己写的,经得起验证,注释很详细-16-bit unsigned multiplier, its own written
Platform: | Size: 323584 | Author: 郭富民 | Hits:

[VHDL-FPGA-Verilogdsa_code

Description: Verilog code for synthesis of 8-bit booth multiplier
Platform: | Size: 4096 | Author: tanish | Hits:

[Software Engineeringdoublemult

Description: 设计了一个双精度浮点乘法器。该器件采用改进的BOO TH 算法产生部分积, 用阵列和 树的混合结构实现对部分积的相加, 同时, 还采用了快速的四舍五入算法, 以提高乘法器的性能。把 设计的乘法器分为4 级流水线, 用FPGA 进行了仿真验证, 结果正确 并对FPGA 实现的时序结果 进行了分析。-Designed a double-precision floating-point multiplier. The device uses an improved algorithm for BOO TH generate part of the plot, with a mixed array and a tree structure to achieve the sum of the partial product, while also using a fast rounding algorithm to improve the performance of multipliers. The design of the multiplier is divided into four lines, carried out a simulation using FPGA verification result is correct and FPGA timing to achieve the results analyzed.
Platform: | Size: 209920 | Author: terry | Hits:

[Embeded-SCM Developwallacetreemultiplier

Description: wallace tree multiplier n bit c program
Platform: | Size: 8192 | Author: sneha | Hits:

[Embeded-SCM Developwallace_tree_multiplier_part1

Description: wallace tree multiplier
Platform: | Size: 181248 | Author: sneha | Hits:

[VHDL-FPGA-Verilogmultiplier

Description:
Platform: | Size: 15360 | Author: lurker | Hits:

[BooksVHDL

Description: A gate level implementation of a Booth Encoded Radix-4 24 bit multiplier with VHDL code in structural form. Carry-save adder and hierarchical CLA adder is used for the component adders in the design. The 12 partial products is a Wallace Adder Tree built from Carry-save adder using 3 to 2 reduction. A hierarchical CLA ( Carry-look-Ahead Adder ) adder is used for the final product generation. -A gate level implementation of a Booth Encoded Radix-4 24 bit multiplier with VHDL code in structural form. Carry-save adder and hierarchical CLA adder is used for the component adders in the design. The 12 partial products is a Wallace Adder Tree built from Carry-save adder using 3 to 2 reduction. A hierarchical CLA ( Carry-look-Ahead Adder ) adder is used for the final product generation.
Platform: | Size: 7168 | Author: Michael Lee | Hits:

[VHDL-FPGA-VerilogGAFF

Description: 伽罗华域GF(q)乘法器设计,完整的源代码。-Galois field GF (q) multiplier design, the complete source code.
Platform: | Size: 2048 | Author: dayu1994 | Hits:

[VHDL-FPGA-Verilogmatrix3x3

Description: 3*3矩阵的乘法器代码!!! !!! !!! !!!!1-3* 3 matrix multiplier code~
Platform: | Size: 4096 | Author: wjlsomeone | Hits:

[Othermultiplier8x8

Description: 8位定点乘法器,支持有符号数/无符号数运算。采用4-2压缩树结构,并提供testbench。-It is an 8-bit fixed-point multiplier, supporting signed/unsigned operations. Wallance tree structure with 4-2 compression. Provides testbench.
Platform: | Size: 2048 | Author: superbear | Hits:

[Industry researchADSP-21262

Description: High performance 32-bit/40-bit floating-point processor Code compatibility—at assembly level, uses the same instruction set as other SHARC DSPs Single-instruction multiple-data (SIMD) computational architecture— two 32-bit IEEE floating-point/32-bit fixed-point/ 40-bit extended precision floating-point computational units, each with a multiplier, ALU, shifter, and register file High bandwidth I/O—a parallel port, an SPI® port, six serial ports, a digital applications interface (DAI), and JTAG DAI incorporates two precision clock generators (PCGs), an input data port (IDP) that includes a parallel data acquisition port (PDAP), and three programmable timers, all under software control by the signal routing unit (SRU)-High performance 32-bit/40-bit floating-point processor Code compatibility—at assembly level, uses the same instruction set as other SHARC DSPs Single-instruction multiple-data (SIMD) computational architecture— two 32-bit IEEE floating-point/32-bit fixed-point/ 40-bit extended precision floating-point computational units, each with a multiplier, ALU, shifter, and register file High bandwidth I/O—a parallel port, an SPI® port, six serial ports, a digital applications interface (DAI), and JTAG DAI incorporates two precision clock generators (PCGs), an input data port (IDP) that includes a parallel data acquisition port (PDAP), and three programmable timers, all under software control by the signal routing unit (SRU)
Platform: | Size: 507904 | Author: ak | Hits:

[VHDL-FPGA-Verilogmultiplier.tar

Description: 用vhdl实现的booth算法乘法器,包含了multiplexer和rca adder,同时提供了一个测试文件,modelsim测试通过-Algorithm with a booth multiplier vhdl implementation, including a multiplexer and rca adder, while providing a test file, modelsim test pass
Platform: | Size: 2048 | Author: 胡恩 | Hits:

[VHDL-FPGA-Verilogmultiplier

Description: This file implemented a multiplier in VHDL
Platform: | Size: 7168 | Author: terry | Hits:

[MiddleWaremultiplier

Description: a multiplier in vhdl, contains an alu and a control unit
Platform: | Size: 2048 | Author: george | Hits:

[VHDL-FPGA-Verilogmultiplier

Description: 个人收集的各种乘法器vhdl源代码,都经过验证,可以直接使用的。-Collected a lot of multiplier vhdl source code
Platform: | Size: 32768 | Author: lise | Hits:

[VHDL-FPGA-VerilogCourseDesign

Description: 用Verilog实现一位原码浮点数乘法器,按照累加的方式,逐位相乘,再相加。-Verilog realization of an original code with floating point multiplier, in accordance with the cumulative way, bit by bit multiply, then add.
Platform: | Size: 245760 | Author: 李伟彬 | Hits:
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »

CodeBus www.codebus.net