Welcome![Sign In][Sign Up]
Location:
Search - alu

Search list

[Other resourcevhdl实现alu的源代码

Description: VHDL实现ALU的源代码,并且提供了一个详细的testbench!-ALU VHDL source code, and provide a detailed testbench!
Platform: | Size: 1740 | Author: 飞扬 | Hits:

[SourceCodeALU 实现加减运算和逻辑运算

Description: 简易ALU 实现加减运算和逻辑运算
Platform: | Size: 7347 | Author: fragileswgz | Hits:

[VHDL-FPGA-Verilogalu

Description: 硬件设计vhdl_cpu1,1. You may copy and distribute verbatim copies of this core, as long -- as this file, and the other associated files, remain intact and -- unmodified. Modifications are outlined below.-hardware design vhdl_cpu1, 1. You may copy and distribute verbatim copies of this core, as long-- as this file, and the other associated files, remain intact and-- unmodified. Modifications are outlined below.
Platform: | Size: 1024 | Author: 江浩 | Hits:

[ARM-PowerPC-ColdFire-MIPSsignal_cpu_sort

Description: Use the verilog language write a MIPS CPU code, and have additional instruction, for example: selection sort instruction. The code has contain combination circuit and sequenial circuit. CPU have contain ALU, ADD, ALU_CONTROL, DATA_MEMORY, INST_MEMORY, REGISTER, PC, and TESTBRANCH.-Use the verilog language write a MIPS CPU code, and have additional instruction, for example: selection sort instruction. The code has contain combination circuit and sequenial circuit. CPU have contain ALU, ADD, ALU_CONTROL, DATA_MEMORY, INST_MEMORY, REGISTER, PC, and TESTBRANCH.
Platform: | Size: 8192 | Author: 張大小 | Hits:

[VHDL-FPGA-Verilog4bits_alu

Description: 实现4位加减乘除的alu,采用超前进位加法和布斯乘法,代码较为简单。-achieve four of the ALU arithmetic using CLA Bush and multiplication, code more simple.
Platform: | Size: 262144 | Author: 陈晓炜 | Hits:

[MPI类sc

Description: 该文件以systemc为核心建立了32位alu,仅供参考-the document to SystemC as the core, a 32-bit ALU, is for reference only
Platform: | Size: 1302528 | Author: asao | Hits:

[VHDL-FPGA-Verilogalu_inverter

Description: 4bit ALU 利用vhdl语言编写的4位ALU 开发环境是在windows下-Band ALU using VHDL language prepared by the four ALU is a development environment under Windows
Platform: | Size: 18432 | Author: bob | Hits:

[Embeded-SCM DevelopATmega128L

Description: ATmega128L单片机 数据手册 ATmega128L微控制器,它是采用低功耗COMS工艺生产的基于RISC结构的8位微控制器,是目前AVR系列中功能最强大的单片机。AVR核将32个工作寄存器和丰富的指令集联结在一起,所有的工作寄存器都与ALU直接相连,实现了在一个时钟周期内执行单条指令的同时访问两个独立寄存器的操作,具有良好的性价比。-ATmega128L SCM manual data ATmega128L microcontrollers, it is using low-power CMOS technology, the RISC-based structure of the 8-bit microcontroller. AVR is the series most powerful MCU. AVR nuclear work will be 32 registers and rich instruction set connected, all the registers are directly connected with ALU, to achieve the implementation of sorts in a single instruction at the same time to visit two independent register operation, good value.
Platform: | Size: 2912256 | Author: 刘天 | Hits:

[VHDL-FPGA-VerilogQuaalu

Description: ALU算术逻辑单元的简单实现,利用VHDL语言编写,可进行加法,减法,以及位的左右移动,只需一个时钟脉冲-ALU arithmetic logic unit to achieve a simple, using VHDL language, can be additive, subtractive, and the place and move around only one clock pulse
Platform: | Size: 103424 | Author: Jake | Hits:

[VHDL-FPGA-Verilogalu_32_bit

Description: verilog 32-bit ALU-verilog 32-bit ALU
Platform: | Size: 2048 | Author: qwasqwas | Hits:

[Linux-Unixalu1

Description: alu,原程序及testbench,供初学者参考-alu, the original procedures and testbench and reference for beginners
Platform: | Size: 2048 | Author: dai hai bo | Hits:

[ARM-PowerPC-ColdFire-MIPSverilog

Description: 8bit alu use verilog hdl
Platform: | Size: 8192 | Author: 周微微 | Hits:

[Embeded-SCM DevelopALU

Description: 实现各种算数逻辑运算 根据输入的指令不同,得到不同的结果-To achieve a variety of arithmetic logic operations in accordance with instructions input different, different results
Platform: | Size: 243712 | Author: | Hits:

[source in ebookalu

Description: 算术逻辑运算单元,它根据输入的8种不同操作码分别实现相应的加、与、异或、跳转等基本操作运算。利用这几种基本运算可以实现很多种其他运算以及逻辑判断等操作-Arithmetic logic operation unit, which under the Importation of the eight kinds of different opcode, respectively, to achieve a corresponding increase, and, XOR, Jump basic computing operation. The use of these types of basic operations can achieve a variety of other judgments, such as computing and logic operation
Platform: | Size: 1024 | Author: lian | Hits:

[VHDL-FPGA-Verilogalu3

Description: 用verilog语言编写,一个8-bit ALU,可以完成按字节的+、-和与、或、非操作-Using Verilog language, an 8-bit ALU, to be completed by byte+,- And, or, non-operating
Platform: | Size: 203776 | Author: 徐芬 | Hits:

[VHDL-FPGA-Verilogalu-div

Description: 用verilog HDL代码编写的快速除法器,比较有用
Platform: | Size: 15360 | Author: 徐芬 | Hits:

[VHDL-FPGA-VerilogMyCPU16

Description: 16位cpu设计VHDL源码,其中包括alu,clock,memory等部分的设计-16 cpu design VHDL source code, including alu, clock, memory and other parts of the design
Platform: | Size: 1089536 | Author: 孙冰 | Hits:

[VHDL-FPGA-Verilogalu181

Description: alu运算器vhdl代码,介绍了16中运算方法,可用于cpu的设计中-alu calculator VHDL code, introduction of 16 in computing methods, can be used for the design of cpu
Platform: | Size: 1024 | Author: 赵心 | Hits:

[VHDL-FPGA-VerilogCPU

Description: 使用verilog作为CPU设计语言实现单数据通路五级流水线的CPU。具有32个通用寄存器、一个程序计数器PC、一个标志寄存器FLAG,一个堆栈寄存器STACK。存储器寻址粒度为字节。数据存储以32位字对准。采用32位定长指令格式,采用Load/Store结构,ALU指令采用三地址格式。支持有符号和无符号整数加、减、乘、除运算,并支持浮点数加、减、乘、除四种运算,支持与、或、异或、非4种逻辑运算,支持逻辑左移、逻辑右移、算术右移、循环右移4种移位运算,支持Load/Store操作,支持地址/立即数加载操作,支持无条件转移和为0转移、非0转移、无符号>转移、无符号<转移、有符号>转移、有符号<转移等条件转移。
Platform: | Size: 43008 | Author: haotianr | Hits:

[VHDL-FPGA-VerilogALU_verilog

Description: 用verilog语言编写的4位算术逻辑单元ALU,功能参考74181,包含.v文件以及测试用.vwf文件-Verilog languages with four arithmetic logic unit ALU, functional reference to 74,181, including. V documents and testing. Vwf document
Platform: | Size: 2048 | Author: 颜心馨 | Hits:
« 1 2 3 45 6 7 8 9 10 ... 30 »

CodeBus www.codebus.net