Welcome![Sign In][Sign Up]
Location:
Search - alu

Search list

[Otheralu

Description: alu算术逻辑运算单元 主要代码 运行环境为QU6.0
Platform: | Size: 268752 | Author: 鸭毛乱飞 | Hits:

[Other resourceALU

Description: vhdl 语言程序设计,包括alu, mux 部分的程序设计。
Platform: | Size: 62074 | Author: dehua | Hits:

[Other resourceALU

Description: 用verilog编写的32位alu部件,用于cpu制作
Platform: | Size: 3377 | Author: 胡豫陇 | Hits:

[Other resourceALU

Description: 用verilog编写的4位ALU,由算术运算模块、逻辑运算模块、选择模块组成
Platform: | Size: 2793 | Author: 姚伟 | Hits:

[Other resourceALU

Description: 用VERILOG实现ALU,实现各种算术运算,逻辑运算,移位运算等
Platform: | Size: 1725616 | Author: 刘自强 | Hits:

[Other resourcealu

Description: 16位RISC CPU的ALU,使用VHDL编写
Platform: | Size: 2513 | Author: 李斌 | Hits:

[Other resourcealu

Description: 4位ALU逻辑运算单元,可进行加法、减法、逻辑运算、移位等操作。
Platform: | Size: 945 | Author: 甲天下 | Hits:

[Other resourcealu

Description: 实现16种运算的alu,包括+,-,+1,-1,与或非以及移位比较运算。经调试成功。
Platform: | Size: 411884 | Author: wangyangyang | Hits:

[Other resourcealu

Description: 4bit ALU(运算逻辑单元)的设计 给出了此次设计alu的输入输出结构及相应的位数。其中C0是一位的进位输入,A和B分别是4位的数据输入,S0、S1、M分别为一位的功能选择输入信号;Cout是一位的进位输出,F是4为的运算结果输出。
Platform: | Size: 1597 | Author: chenyi | Hits:

[Other resourceALU

Description: ALU可以实现16种操作(包括加减乘除移位运算等)
Platform: | Size: 839228 | Author: 草野彰 | Hits:

[Other resourcealu

Description: 4位alu,包括加减乘除等运算功能,是可综合风格的,包括测试文件
Platform: | Size: 2584 | Author: polozhang | Hits:

[Other resourcealu

Description: verilog编写的alu模块-Verilog modules prepared by the ALU
Platform: | Size: 1393 | Author: 刘陆陆 | Hits:

[Other resourceverilog实现ALU的源代码

Description: verilog实现ALU的源代码,并提供了一个详细的测试平台!-achieve ALU Verilog source code, and provide a detailed test platform!
Platform: | Size: 1382 | Author: 飞扬 | Hits:

[VHDL-FPGA-Verilogalu

Description: 一个简单的四位alu,用max+plusII运行-a simple 4bit alu by vhdl.You can use max+plusII to text it
Platform: | Size: 1024 | Author: 刘一正 | Hits:

[VHDL-FPGA-Verilogalu

Description: the 8 bit alu by verilog
Platform: | Size: 91136 | Author: pedram | Hits:

[VHDL-FPGA-VerilogMIPS-ARM-ALU

Description: 用verilog描述语言实现的MIPS和ARM的ALU程序。-Verilog description language with the MIPS and ARM ALU program.
Platform: | Size: 2529280 | Author: | Hits:

[VHDL-FPGA-VerilogALU

Description: a vhdl source code for ALU
Platform: | Size: 1678336 | Author: maleki | Hits:

[OtherALU Depart

Description: 矩阵的ALU分解,以前学习的时候写的,仅供参考(ALU decomposition of matrices)
Platform: | Size: 869376 | Author: Stardust1023 | Hits:

[Other杭电计算机组成原理多功能ALU设计实验3

Description: 计算机组成原理实验三 多功能ALU设计实验(Computer composition experiment three Design experiment of multifunction ALU)
Platform: | Size: 108544 | Author: yukiakari | Hits:

[Otheralu.circ

Description: 使用logisim在虚拟环境下仿真设计alu的模版电路(model(frame)of complete the function of alu)
Platform: | Size: 3072 | Author: aNiaaa | Hits:
« 1 2 34 5 6 7 8 9 10 ... 30 »

CodeBus www.codebus.net