Welcome![Sign In][Sign Up]
Location:
Search - sram

Search list

[ARM-PowerPC-ColdFire-MIPSC51控制SRAM

Description: 本源码是模拟软核51利用I2c控制SRAM进行读写控制的程序
Platform: | Size: 4232306 | Author: saroy.g@163.com | Hits:

[VHDL-FPGA-VerilogCPLD 與 61LV256 SRAM 驱动 TFT

Description: CPLD 與 61LV256 SRAM 驱动 4.3 吋的 TFT,附 Verilog 語言範例.
Platform: | Size: 2896 | Author: xyz543 | Hits:

[Windows CEwince下的sram驱动程序

Description: wince下的sram驱动程序
Platform: | Size: 11910 | Author: hzy0719@163.com | Hits:

[ApplicationsZBT SRAM

Description: 用verilog HDL写的操作SRAM的源码-with Verilog HDL write operation SRAM FOSS
Platform: | Size: 6144 | Author: 刘波 | Hits:

[VHDL-FPGA-VerilogSRAM@DMA实验

Description: ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,然后NIOS SHELL下C 语言运行。实验SRAM和DMA调度-Altera NIOS processor experiments QUARTUS using VHDL compiler into processor, then NIOS SHELL C language runtime. Experimental SRAM and DMA Scheduling
Platform: | Size: 33792 | Author: xf | Hits:

[VHDL-FPGA-VerilogZBT SRAM控制器参考设计_verilog_xilinx

Description: ZBT SRAM控制器参考设计,xilinx提供,(ZBT SRAM是一种高速同步SRAM)-ZBT SRAM controller reference design for Xilinx (ZBT SRAM, a high-speed synchronous SRAM)
Platform: | Size: 35840 | Author: 陈旭 | Hits:

[VHDL-FPGA-VerilogZBT SRAM控制器参考设计vhdl_xilinx

Description: ZBT SRAM控制器参考设计,xilinx提供的VHDL源代码-ZBT SRAM controller reference design for Xilinx VHDL source code
Platform: | Size: 9216 | Author: 陈旭 | Hits:

[VHDL-FPGA-Verilogsram

Description: sram 读写小程序,用verilog编写的,请各位高手指教-SRAM read and write small programs using Verilog prepared, please enlighten you master
Platform: | Size: 1024 | Author: kevin | Hits:

[Software EngineeringSRAM

Description: 是一个基于VHDL的SRAM程序,很有代表意义,下下吧-Is a VHDL of SRAM-based procedures, is very representative of significance, under the under the bar
Platform: | Size: 3072 | Author: 张俊 | Hits:

[VHDL-FPGA-VerilogSRAM

Description: 静态随机存储器(SRAM)设计VHDL代码,已经生成的了-Static random access memory (SRAM) design of VHDL code, has generated a
Platform: | Size: 345088 | Author: 陆见风 | Hits:

[VHDL-FPGA-VerilogSRAM

Description: SRAM编译过的源代码 强烈推荐
Platform: | Size: 3072 | Author: JP | Hits:

[VHDL-FPGA-Verilogsram+lcd

Description: 用vhdl格式写的sram源代码,把扩展名txt改为.v即可-VHDL format used to write the SRAM source code, to be re-txt extension. V can
Platform: | Size: 2048 | Author: 郭艳红 | Hits:

[VHDL-FPGA-Verilogsram

Description: FPGA向SRAM中写入数据(VHDL编程),包含通用fifo,sram等-FPGA to the SRAM write data (VHDL programming), contains general fifo, sram, etc.
Platform: | Size: 270336 | Author: 王刚 | Hits:

[VHDL-FPGA-VerilogSRAM-PINGPANG

Description: 超声视频图像需要实时地采集并在处理后在显示器上重建,图像存储器就必须不断地写入数据,同时又要不断地从存储器读出数据送往后端处理和显示[11]。为了满足这种要求,可以在采集系统中设置2片容量一样的SRAM,通过乒乓读写机制来管理。任何时刻,只能有1片SRAM处于写状态,同时也只有1片SRAM处于读状态。工作期间,2片SRAM都处于读写状态轮流转换的过程,转换的过程相同,但是状态错开,从而保证数据能连续地写人和读出祯存.-Real-time ultrasound video images need to collect and deal with the reconstruction after the display, image memory must be continually write data, while at the same time continuously sent from the memory读出数据back-end processing and display [11]. To meet this requirement, you can set up collection system capacity of two different SRAM, read and write through the ping-pong mechanisms to manage. At any time, can only have a SRAM in write state, but also the only one at a time the state of SRAM. Work, two SRAM read and write are in the process of converting a state of rotation, the conversion process of the same, but the state staggered to ensure that data can be continuously written and read out Qizhen depositors.
Platform: | Size: 1024 | Author: smj1980 | Hits:

[SCMSRAM

Description: STM32F103VBT6 实现SRAM -STM32F103VBT6 realize SRAM
Platform: | Size: 675840 | Author: yuan | Hits:

[Driver DevelopSRAM

Description: 这是一个sram接口驱动程序,能够驱动256kbx16bit的sram-This is a SRAM interface driver, to drive the SRAM 256kbx16bit
Platform: | Size: 11264 | Author: chenyizhong | Hits:

[Other Embeded programSRAM+LCD

Description: AT89C58单片机 外部SRAM测试+LCD显示 C语言-AT89C58 single-chip external SRAM test+ LCD display C language
Platform: | Size: 15360 | Author: chengpeng | Hits:

[SCMSRAM

Description: F020集成以太网接口核心模块SRAM例程源代码-F020 integrated Ethernet interface module SRAM core routine source code
Platform: | Size: 115712 | Author: yang | Hits:

[DSP programSRAM

Description: SRAM读写程序,驱动外围静态存储器读写程序,-SRAM read and write programs, drivers external SRAM read and write procedures
Platform: | Size: 4096 | Author: 刘磊 | Hits:

[VHDL-FPGA-VerilogSRAM

Description: 使用方法: SRAM编程,拷贝到硬盘,用ISE打开工程文件即可-Usage: SRAM programming, copied to the hard drive, open the project file with ISE can
Platform: | Size: 10240 | Author: yhz | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net