Welcome![Sign In][Sign Up]
Location:
Search - hdb3

Search list

[VHDL-FPGA-VerilogHDB3解码

Description: 另一个HDB3解码,基于verilog语言
Platform: | Size: 382 | Author: lsz0718 | Hits:

[Communication-Mobile几种编码方式

Description: A. 产生一个长为1000的二进制随机序列,“0”的概率为0.8,”1”的概率为0.2;B. 对上述数据进行归零AMI编码,脉冲宽度为符号宽度的50%,波形采样率为符号率的8倍,画出前20个符号对应的波形(同时给出前20位信源序列);C. 改用HDB3码,画出前20个符号对应的波形;D. 改用密勒码,画出前20个符号对应的波形;E. 分别对上述1000个符号的波形进行功率谱估计,画出功率谱;F. 改变信源“0”的概率,观察AMI码的功率谱变化情况;-have a length of 1,000 random binary sequence, "0" with a probability of 0.8, "a" with a probability of 0.2; B. above zero AMI data coding, and pulse width of symbols width of 50%, waveform sampling rate Symbol rate for the eight times that he painted before the 20 symbols corresponding waveforms (also given before 20 Source sequence); C. HDB3 code switch to depict the former 20 symbols corresponding waveform; D. Miller to switch codes to depict the former 20 symbols corresponding waveform; E. respectively above 1,000 symbols for the power spectrum waveform estimated to depict the power spectrum; F. Change source "0" the probability to observe AMI code changes in the power spectrum;
Platform: | Size: 59392 | Author: 郭慧勤 | Hits:

[EditBoxenc

Description: HDB3编码器 使用VHDL编制 对于基带传输很有用的程序-HDB3 encoder using VHDL preparation for baseband transmission useful procedure
Platform: | Size: 1024 | Author: ls | Hits:

[SCMdanpianji1

Description: NRZ-HDB3的码型转换,分为三部分,每一部分都有详细C程序,原理图稍后传上。-NRZ-HDB3 the type of code conversion, divided into three parts, each have detailed procedures C, schematics Chuan later on.
Platform: | Size: 1024 | Author: 孔梅 | Hits:

[VHDL-FPGA-Veriloghdb3_VHDL

Description: hdb3 using language VHDL-Indoor using VHDL language
Platform: | Size: 54272 | Author: 王锋 | Hits:

[Communicationhdb300

Description: HDB3编码解码系统,我自己做的。 HDB3编码解码系统,我自己做的。-HDB3 codec system, I do for myself. HDB3 codec system, I do for myself.
Platform: | Size: 2048 | Author: | Hits:

[VHDL-FPGA-Veriloghdb3_verilog

Description: modelsim工程,用verilog实现的HDB3编码,以及测试程序testbench-modelsim works with verilog realized HDB3 coding, and testing procedures testbench
Platform: | Size: 22528 | Author: chengroc | Hits:

[source in ebookXC9572shixianHDB3bianma

Description: 用XC9572实现HDB3编解码设计 用XC9572实现HDB3编解码设计-using XC9572 achieve HDB3 CODEC designed for XC9572 achieve HDB3 CODEC Design With XC9572 achieve HDB3 CODEC Design
Platform: | Size: 136192 | Author: | Hits:

[Embeded-SCM DevelopjiyuCPLDdeHDB3bianmaqi

Description: 基于cpld的hdb3编码器 基于cpld的hdb3编码器-hdb3 coding is based on the cpld hdb3 cpld coding is based on the h db3 Encoder
Platform: | Size: 156672 | Author: | Hits:

[Windows Developamicmi

Description: 进入MatLab程序运行界面后,运行程序,界面会出现请输入NRZ玛,输入方式按数组方式输入,确认输入的NRZ码之后,程序会自动输出 CMI,AMI,HDB3的编码和解码的结果,可以清晰的对照出编码前后的结果。-into MatLab interface operating procedures, operating procedures, the interface will enter emerging NRZ Mary, by the array input mode input and confirm the NRZ, the program will automatically output CMI, AMI, HDB3 encoding and decoding, the results of which will clear up the control of the results before and after encoding.
Platform: | Size: 2048 | Author: 戴为 | Hits:

[matlabHDB3

Description: 我的作业 请大家批评指正 我的作业 请大家批评指正 -I please correct me I criticized the operation Please correct me I criticized the operations criticized please correct me Operating I please correct me I criticized the operation Please correct me I criticized the operations criticized please correct me
Platform: | Size: 1024 | Author: | Hits:

[OtherMATLAB_HDB3

Description: matlab在通信系统中的应用仿真——HDB3码-matlab in Communication System Simulation- HDB3 Code
Platform: | Size: 1024 | Author: wzf567 | Hits:

[Communicationbianma

Description: 通信原理课程设计软件部分,用vb实现曼彻斯特编码,HDB3编码和PCM13编码,并显示编码图形-Communication Theory course design software, using vb realize Manchester encoding, HDB3 encoding and encoding PCM13, and display graphics encoding
Platform: | Size: 64512 | Author: 姜飞 | Hits:

[CommunicationHDB3code

Description: 光纤通信中的编码方式hdb3,编解码的vhdl实现-Optical fiber communications in encoding hdb3, codec realize the VHDL
Platform: | Size: 5120 | Author: 江泽民 | Hits:

[MiddleWareHDB3byVHDL

Description: 基于VHDL语言的HDB3码编译码器的设计 HDB3 码的全称是三阶高密度双极性码,它是数字基带传输中的一种重要码型,具有频谱中无直流分量、能量集中、提取位同步信息方便等优点。HDB3 码是在AMI码(极性交替转换码)的基础上发展起来的,解决了AMI码在连0码过多时同步提取困难的问题-Based on the VHDL language code HDB3 codecs design HDB3 code name is the third-order high-density bipolar code, it is the digital base-band transmission an important pattern, with no DC component spectrum, energy concentration, extraction bit synchronization information, such as the advantages of convenience. HDB3 code is in the AMI code (alternating polarity conversion code) developed on the basis of resolving the AMI code 0 yards too much even when difficult issues simultaneously extract
Platform: | Size: 257024 | Author: liangtao | Hits:

[Software Engineeringweiwei

Description: 课程设计HDB3编码系统的设计与仿真设计-Curriculum design HDB3 coding system design and simulation design
Platform: | Size: 148480 | Author: 滕莹 | Hits:

[Software Engineeringxin

Description: 同属HDB3编译码器系统的课程设计与仿真-HDB3 codecs belong to the same system of course design and simulation
Platform: | Size: 147456 | Author: 滕莹 | Hits:

[VHDL-FPGA-VerilogHDB3bianjiema

Description: 关于HDB3码的一种新的编解码形式,可以有CPLD实现。-HDB3 code on a new form of codec, you can realize has CPLD.
Platform: | Size: 32768 | Author: 李国 | Hits:

[Otherhdb3_proc

Description: HDB3编解码,含时钟提取,极高的效率和可靠性,VHDL。-HDB3 coding and decoding, including clock extraction, high efficiency and reliability, VHDL.
Platform: | Size: 4096 | Author: BrivaMa | Hits:

[matlabHDB3_Code

Description: 在Matlab平台上实现的HDB3编码的函数,接口简单,使用方便可靠-In Matlab platform realize the HDB3 encoding function, interface is simple, easy to use and reliable
Platform: | Size: 1024 | Author: Kai | Hits:
« 1 2 3 45 6 7 8 9 10 ... 15 »

CodeBus www.codebus.net