Welcome![Sign In][Sign Up]
Location:
Search - hdb3

Search list

[VHDL-FPGA-Veriloghdb3_decode

Description: hdb3码的编码及解码代码,包括模块连接。-hdb3 code encoding and decoding code, including modules.
Platform: | Size: 651264 | Author: Gina | Hits:

[VHDL-FPGA-Veriloghdbn_latest.tar

Description: This “core” is actually two cores – an HDB3/HDB2/B3ZS Encoder that converts NRZ data into P and N pulses according to ITU-T G.703, and a HDB3/HDB2/B3ZS Decoder that converts P and N pulses into NRZ data according to ITU-T G.703.
Platform: | Size: 199680 | Author: chaitanya | Hits:

[Wavelettransphp

Description: VC++的信息波形显示程序,输入波形后,可以设置不同的编辑类型:NRZ法编码、单极归零码、双级非归零、双极归零码、AMI法编码、HDB3编码法等,并根据这些设置选项生成波形图,软件界面友好,像是很专业的软件-VC++ waveform display program information, input waveform, it can set a different editor types: NRZ encoding method, a unipolar NRZ, two-stage non-zero, bipolar NRZ, AMI Encoding, HDB3 encoding method, etc., and According to the settings option to generate waveforms, the software interface is friendly, very professional software such as
Platform: | Size: 32768 | Author: 宇烁 | Hits:

[VHDL-FPGA-Veriloghdb3_codedecode

Description: 用VERILOG实现的,hdb3编码器和解码器,经过前仿真和后仿真成功-Achieved with the VERILOG, hdb3 encoder and decoder, after a successful pre-simulation and post simulation
Platform: | Size: 435200 | Author: Along | Hits:

[matlabfcn

Description: 信号与系统课程中的RZ码、NRZ码、AMI码、HDB3码、双相码、差分码等-Signal and System s Course used the RZ code, NRZ code, AMI code, HDB3 code, phase codes, differential code, etc.
Platform: | Size: 11264 | Author: 何林 | Hits:

[VHDL-FPGA-Veriloghdb3

Description: 初学者比较适合!因为程序很简单还有注释,希望可以帮到大家的忙!多多下载啊-More suitable for beginners! There are notes because the program is simple, the desire to help everyone a favor! Lots of download ah
Platform: | Size: 1448960 | Author: 任杰 | Hits:

[VHDL-FPGA-Veriloghdb3decode

Description: g.703 hdb3 decode verilog source code
Platform: | Size: 1024 | Author: James | Hits:

[OtherHDB3

Description: HDB3编码的实现以及波形图的绘制,这么麻烦呀-HDB3 coding to achieve and waveform mapping, so trouble ah
Platform: | Size: 3238912 | Author: Han | Hits:

[CommunicationHDB3

Description: HDB3的编译码程序~可以成功运行,希望对大家有帮助-HDB3 the encoding and decoding process ~ can successfully run, we want to help
Platform: | Size: 1024 | Author: linmeilu | Hits:

[Otherhdb3

Description: 这是一个HDB3编码的matlab程序,可进行信源编码,可以做为一个子程序-This is a HDB3 encoding matlab program source coding can be used as a subroutine
Platform: | Size: 1024 | Author: 张冲 | Hits:

[matlabhdb3

Description: 用MATLAB编码不归零码和HDB3码之间的转换的编码部分-MATLAB code is not used and the HDB3 code NRZ coding part of the conversion between
Platform: | Size: 355328 | Author: wuweijiang | Hits:

[matlabhdb3

Description: 此是基于MATLAB的研究,是一个完整的MATLAB 程序,供大家研究学习-This study is based on MATLAB, is a complete MATLAB program for everyone to learn
Platform: | Size: 1024 | Author: zeken | Hits:

[matlabHDB3

Description: 实现HDB3编码,HDB3码是AMI码的一种改进型,其目的是为保持AMI码的优点而克服其缺点,使连“0”个数不超过3个。-Achieve HDB3 encoding, HDB3 code is a modified AMI code, its purpose is to maintain the benefits of AMI code to overcome its shortcomings, so that even the " 0" the number of not more than 3.
Platform: | Size: 1024 | Author: zhanghan | Hits:

[VHDL-FPGA-VerilogHDB3(2)

Description: 利用vhdl编写 实现数字基带传输HDB3码解码程序-vhdl hdb3 decode
Platform: | Size: 2048 | Author: kid | Hits:

[VHDL-FPGA-VerilogHDB3

Description: 基于FPGA的HDB3编码 利用VHDL实现的源码-The HDB3 code based on FPGA implementation using VHDL source code
Platform: | Size: 1024 | Author: yanzi | Hits:

[matlabhdb3

Description: 利用matlab 对随机信号进行 hdb3码编码-liyong matlab duixinhao jinxing hdb3 Coding
Platform: | Size: 1024 | Author: 郭岩 | Hits:

[VHDL-FPGA-VerilogHDB3-Decoding

Description: hdb3解码程序,输入时01代表+1,10代表-1,程序经仿真通过。-hdb3 decoder, input 01 representative of the representative+1,10-1, the program adopted by the simulation.
Platform: | Size: 1024 | Author: 李志强 | Hits:

[VHDL-FPGA-VerilogHDB3-Coding

Description: HDB3码编码程序,能将普通码编码为HDB3码,输出中11代表V,10代表B,01代表01,00代表0,程序经仿真通过。-HDB3 coding procedures, able to encode common code HDB3 code, representative of the output of 11 V, 10 on behalf of B, 01 representatives on behalf of 01,00 0, the program adopted by the simulation.
Platform: | Size: 2048 | Author: 李志强 | Hits:

[matlabami.hdb3

Description: ami ,hdb3码的编解码过程,这是在matlab中实现的,但是对我们的编程也很有用处-ami, hdb3 code encoding and decoding process, which is implemented in matlab, but may also be useful to our programming
Platform: | Size: 80896 | Author: feng | Hits:

[VHDL-FPGA-VerilogHDB3_CODER

Description: HDB3 verilog 编码模块 基于ISE10.1的HDB3编码模块实现。-HDB3 verilog ISE10.1
Platform: | Size: 191488 | Author: twieain | Hits:
« 1 2 3 4 5 67 8 9 10 11 ... 15 »

CodeBus www.codebus.net