Welcome![Sign In][Sign Up]
Location:
Search - hdb3

Search list

[EditBoxenc

Description: HDB3编码器 使用VHDL编制 对于基带传输很有用的程序-HDB3 encoder using VHDL preparation for baseband transmission useful procedure
Platform: | Size: 1135 | Author: ls | Hits:

[Other resourcedanpianji1

Description: NRZ-HDB3的码型转换,分为三部分,每一部分都有详细C程序,原理图稍后传上。-NRZ-HDB3 the type of code conversion, divided into three parts, each have detailed procedures C, schematics Chuan later on.
Platform: | Size: 1520 | Author: 孔梅 | Hits:

[Other resourcehdb3_VHDL

Description: hdb3 using language VHDL-Indoor using VHDL language
Platform: | Size: 54782 | Author: 王锋 | Hits:

[Communicationhdb300

Description: HDB3编码解码系统,我自己做的。 HDB3编码解码系统,我自己做的。-HDB3 codec system, I do for myself. HDB3 codec system, I do for myself.
Platform: | Size: 2113 | Author: 万金油 | Hits:

[Other resourcehdb3_verilog

Description: modelsim工程,用verilog实现的HDB3编码,以及测试程序testbench-modelsim works with verilog realized HDB3 coding, and testing procedures testbench
Platform: | Size: 23041 | Author: chengroc | Hits:

[Other resourceXC9572shixianHDB3bianma

Description: 用XC9572实现HDB3编解码设计 用XC9572实现HDB3编解码设计-using XC9572 achieve HDB3 CODEC designed for XC9572 achieve HDB3 CODEC Design With XC9572 achieve HDB3 CODEC Design
Platform: | Size: 136699 | Author: 陈心 | Hits:

[Embeded-SCM DevelopjiyuCPLDdeHDB3bianmaqi

Description: 基于cpld的hdb3编码器 基于cpld的hdb3编码器-hdb3 coding is based on the cpld hdb3 cpld coding is based on the h db3 Encoder
Platform: | Size: 157193 | Author: 陈心 | Hits:

[Windows Developamicmi

Description: 进入MatLab程序运行界面后,运行程序,界面会出现请输入NRZ玛,输入方式按数组方式输入,确认输入的NRZ码之后,程序会自动输出 CMI,AMI,HDB3的编码和解码的结果,可以清晰的对照出编码前后的结果。-into MatLab interface operating procedures, operating procedures, the interface will enter emerging NRZ Mary, by the array input mode input and confirm the NRZ, the program will automatically output CMI, AMI, HDB3 encoding and decoding, the results of which will clear up the control of the results before and after encoding.
Platform: | Size: 1909 | Author: 戴为 | Hits:

[Other resourceHDB3

Description: 我的作业 请大家批评指正 我的作业 请大家批评指正 -I please correct me I criticized the operation Please correct me I criticized the operations criticized please correct me Operating I please correct me I criticized the operation Please correct me I criticized the operations criticized please correct me
Platform: | Size: 1597 | Author: 姓名 | Hits:

[Other resourceMATLAB_HDB3

Description: matlab在通信系统中的应用仿真——HDB3码
Platform: | Size: 1653 | Author: wzf567 | Hits:

[OtherAMI-HDB3-Miller-Code

Description: AMI HDB3 Miller Code,AMI码和HDB3码和miller码的仿真比较
Platform: | Size: 160768 | Author: zhy | Hits:

[OtherHDB3

Description: HDB3编码解码的简单算法实现,仅用于初学者对HDB3码的编码解码过程的理解-Simple arithmetic for the encode and decode of HDB3 code, only for beginners to understand and learn the algorithm
Platform: | Size: 150528 | Author: Penrose Wang | Hits:

[assembly languageHDB3-

Description: 用汇编语言对HDB3码进行编码,并可以用示波器进行观察。-The HDB3 code is encoded in assembly language and can be viewed with an oscilloscope.
Platform: | Size: 2048 | Author: 杨银松 | Hits:

[OtherHDB3

Description: Matlab仿真HDB3编码解码通信过程-Matlab simulation HDB3 encoding and decoding communication process
Platform: | Size: 3072 | Author: 申倞宇 | Hits:

[VHDL-FPGA-VerilogHDB3

Description: 针对数字基带传输系统中HDB3信号的特点,采用基于FPGA的Verilog HDL语言,实现HDB3数字基带信号的编码器设计,共有插V、插B、单双极性变换模块,最终能在FPGA实现。-For digital baseband transmission system HDB3 signal characteristics, based on FPGA Verilog HDL language, designed to achieve HDB3 encoder digital baseband signal, a total insertion V, B plug, single and double polarity conversion module, eventually realized in FPGA.
Platform: | Size: 329728 | Author: 丁一 | Hits:

[Windows Develop文件

Description: 1、DA数模转换就是将离散的数字量转换为连接变化的模拟量。与数模转换相对应的就是模数转换,模数转换是数模转换的逆过程。 2、差分编码和HDB3编码(DA digital to analog conversion is to convert discrete digital quantity into analog quantity of connection change. Analog to digital conversion is analog to digital conversion, and analog to digital conversion is the inverse process of digital to analog conversion.)
Platform: | Size: 1024 | Author: mike123 | Hits:

[Othercode

Description: 使用c语言实现AMI,双相,HDB3码的转换(converse to AMI, biphase, HDB3 code by using C)
Platform: | Size: 182272 | Author: JasNathan | Hits:

[OtherDesktop

Description: hdb3和ami码,Matlab实现AMI码,HDB3码仿真,可以像C语言一样,把每个M文件中的代码写成是一个函数(The official home of MATLAB software. MATLAB is the easiest and most productive software environment for engineers and scientists)
Platform: | Size: 3072 | Author: 好尽快 | Hits:

[matlabsy2

Description: 将基带信号输入序列,对应输出AMI,CMI,HDB3和双向码序列以及波形(The baseband signal input sequence corresponds to the output AMI, CMI, HDB3 and bidirectional code sequence and waveform.)
Platform: | Size: 16384 | Author: 孙秀一 | Hits:

[VHDL-FPGA-VerilogEDA

Description: 本设计是在Quartus ii开发环境下采用VHDL语言实现的AMI/HDB3编码器课程设计。(This design is a course design of AMI / HDB3 encoder implemented by VHDL language in the development environment of Quartus II.)
Platform: | Size: 1916928 | Author: Z Yu | Hits:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 15 »

CodeBus www.codebus.net