Welcome![Sign In][Sign Up]
Location:
Search - hdb3

Search list

[OtherHDB3

Description: 通信原理,HDB3编码,实现在信道中更好的传输。-Communication Theory, HDB3 coding, to achieve better transmission in the channel
Platform: | Size: 2048 | Author: merry wang | Hits:

[Program docHDB3-code-encoding-rules

Description: 介绍了通信行业中,最常用的一种编码机制,HDB3编码机制,这种编码机制可以减除通讯过程中的误码几率-Describes the communications industry, the most commonly used coding scheme, HDB3 encoding mechanism, this encoding mechanism can be less chance of errors during communication
Platform: | Size: 7168 | Author: 陈文倩 | Hits:

[matlabHDB3

Description: 通过matlab仿真验证HDB3码的原理,分析HDB3码的优缺点. -HDB3 code by matlab simulation principle, analyze the advantages and disadvantages HDB3 code.
Platform: | Size: 40960 | Author: wanghao | Hits:

[VHDL-FPGA-Veriloghdb3

Description: hdb3码编码器 基于fpga的hdb3码编码器 运行可行 并且已经在板子上调试过-hdb3 code
Platform: | Size: 4096 | Author: 胡用 | Hits:

[DocumentsHDB3

Description: hdb3码编解码仿真,hdb3码的编解码程序,会自动合成图-hdb3 encoding and decoding simulation
Platform: | Size: 1024 | Author: 陈晨 | Hits:

[OtherHDB3

Description: HDB3编码电路的几种设计方法,详细介绍了HDB3编码电路的不同设计。-Several HDB3 encoding circuit design, detailing the different designs HDB3 encoding circuit.
Platform: | Size: 430080 | Author: 郭佛保 | Hits:

[source in ebookHDB3

Description: 通信中的HDB3编码的仿真建模,仿真程序建模!-Communication HDB3 coding simulation modeling, simulation modeling program!
Platform: | Size: 1024 | Author: yuanxingmeng | Hits:

[VHDL-FPGA-VerilogHDB3

Description: hdb3键盘接口VHDL程序,经过严格仿真,很有参考价值。-HDB3 VHDL keyboard interface program, after a rigorous simulation, of great reference value.
Platform: | Size: 260096 | Author: 崔凯华 | Hits:

[Communication-Mobilehdb3

Description: decode hdb3,对一个hdb3码进行译码运算- decode hdb3
Platform: | Size: 2048 | Author: zengshuting | Hits:

[matlabhdb3

Description: 将普通码元编译成hdb3码的程序,使用matlab环境编译,希望对大家有所帮助。-the program which changes the origin mode to hdb3
Platform: | Size: 1024 | Author: 张紫 | Hits:

[Compress-Decompress algrithmsHDB3

Description: HDB3码 连“0”个数计数 V脉冲位置记录变量B脉冲位置记录变量 让0000的最后一个0改变为与前一个非零符号相同极性的符号-Even HDB3 code " 0" count the number of pulse position record variable B V pulse position to make 0000 a record variable is changed to 0 and the last one before a non-zero symbol of the same polarity sign ......
Platform: | Size: 1024 | Author: hqx | Hits:

[VHDL-FPGA-VerilogHDB3-encoderauncoder

Description: HDB3编码器与解码器,以及RTL图,使用Verilog HDL实现-HDB3 encoder and decoder, and RTL diagram, use Verilog HDL to implement
Platform: | Size: 184320 | Author: zhouyu | Hits:

[OtherHDB3

Description: 大三学生完成 基于VHDL的HDB3编码器设计-HDB3 encoder juniors complete VHDL-based design
Platform: | Size: 243712 | Author: liaoliao | Hits:

[VHDL-FPGA-Veriloghdb3

Description: hdb3编解码程序,非常简洁好用,欢迎下载-hdb3 codec program is very simple to use, welcome to download
Platform: | Size: 2048 | Author: 田勇 | Hits:

[CSharpHDB3

Description: 生成一个随机序列,然后对其进行HDB3编码,最后对其解码,显示出来-Generating a random sequence, then subjected HDB3 encoding, and finally decodes, displayed
Platform: | Size: 1024 | Author: 李梦奇 | Hits:

[CSharpHDB3-coding

Description: 主要是HDB3编程一些资料,内含一个MATLAB实现HDB3的实验报告-The main program is HDB3 some information, containing a MATLAB implementation HDB3 lab reports
Platform: | Size: 57344 | Author: 李梦奇 | Hits:

[VHDL-FPGA-VerilogHDB3-VHDL-code

Description: HDB3的VHDL语言描述,注释在文件内-HDB3 source code in VHDL
Platform: | Size: 264192 | Author: 冰凝 | Hits:

[VHDL-FPGA-Veriloghdb3

Description: 使用FPGA将伪随机码转换成DHB3吗,及解码HDB3码-encode and decode hdb3 using verilog HDL
Platform: | Size: 578560 | Author: 杨洪吉 | Hits:

[Com PortHDB3

Description: verilog hdb3 encode decode
Platform: | Size: 198656 | Author: 胡必成 | Hits:

[Software Engineeringhdb3

Description: hdb3译码基于quartus ii 程序 基于vhdl语言编写 利用quartus7.2 进行仿真-hdb3译码基于quartus ii 程序
Platform: | Size: 1024 | Author: 陈哈 | Hits:
« 1 2 3 4 5 6 7 89 10 11 12 13 14 15 »

CodeBus www.codebus.net