Welcome![Sign In][Sign Up]
Location:
Search - hdb3

Search list

[VHDL-FPGA-VerilogHDB3

Description: 用verilog HDL语言,通过一个4位移位寄存器实现一个信号转化为HDB3码并进行测试 -Using verilog HDL language, through a 4-bit shift register realization of a signal into HDB3 code and test
Platform: | Size: 1024 | Author: | Hits:

[matlabhdb3

Description: hdb3 AMI 码 这是自个儿用matlab编的关于HDB3,AMI的仿真 -hdb3 AMI
Platform: | Size: 1024 | Author: 谢静辉 | Hits:

[Software EngineeringHDB3

Description: hdb3的各类程序,包括解码,译码,以及分频,时延-hdb3 various types of procedures, including decoding, decoding, and the sub-frequency, time delay
Platform: | Size: 2104320 | Author: chencong | Hits:

[VHDL-FPGA-VerilogHDB3

Description: HDB3 encoder and decoder-HDB3 decoer
Platform: | Size: 316416 | Author: jkl | Hits:

[VHDL-FPGA-Veriloghdb3

Description: 这是一个很全的HDB3译码的verilog程序,用于FPGA入门所用,verilog的入门很好的程序-This is a very wide of the HDB3 decoding verilog program for entry-FPGA used, verilog entry procedures for good
Platform: | Size: 1024 | Author: xxx | Hits:

[matlabHDB3

Description: HDB3码的MATLAB程序,经典,记得保留-HDB3 code MATLAB programs, classic, remember to retain
Platform: | Size: 1024 | Author: 张翠霞 | Hits:

[OtherHDB3

Description: HDB3编码器的设计 HDB3编码器的设计 -HDB3 Encoder HDB3 Encoder HDB3 Encoder HDB3 Encoder
Platform: | Size: 97280 | Author: | Hits:

[VHDL-FPGA-VerilogHDB3

Description: HDB3编码器与译码 HDB3编码器与译码-HDB3 encoder and decoder
Platform: | Size: 266240 | Author: 一天 | Hits:

[VHDL-FPGA-VerilogHDB3

Description: 基于FPGA的HDB3编码器和译码器的实现源代码-the decoder and encoder based on FPGA
Platform: | Size: 260096 | Author: ganzhhua | Hits:

[VHDL-FPGA-Veriloghdb3

Description: hdb3编码源程序完整版,内含插B,插V程序,功能完整,欢迎下载-library ieee use ieee.std_logic_1164.all entity hdb3 is port(codein: in std_logic clk : in std_logic clr : in std_logic --复位信号 codeout: out std_logic_vector(1 downto 0)) end hdb3
Platform: | Size: 1024 | Author: lin | Hits:

[VHDL-FPGA-VerilogHDB3

Description: 采用FPGA产生数字基带系统传输码型HDB3码,采用《通信原理》例子设计。-Generated by FPGA digital baseband transmission code HDB3 code system, a " communication theory" example design.
Platform: | Size: 289792 | Author: qs | Hits:

[Windows DevelopHDB3

Description: 在VC中用C实现的HDB3码的编码。为减小文件体积,只压了.cpp文件。-In the VC using C code to achieve the HDB3 encoding. To reduce the file size, just press the. Cpp file.
Platform: | Size: 1024 | Author: 李刚 | Hits:

[matlabhdb3

Description: 自己做的基于MATLAB的HDB3编码,已经通过了调试- HDB3 code based on MATLAB
Platform: | Size: 1024 | Author: xuzhenxing | Hits:

[Communication-Mobilehdb3

Description: 完成通信中HDB3的编码过程和解码过程能够很好的理解通信原理。-Complete the communication process HDB3 encoding and decoding process can be a very good understanding of communication theory.
Platform: | Size: 2048 | Author: 12 | Hits:

[Program docHDB3-matlab

Description: HDB3扰码技术的matlab编程实现,自己的论文整理,计算机原理必备-HDB3 scrambler technology of matlab programs, their papers, computer principle is necessary
Platform: | Size: 59392 | Author: 孙小明 | Hits:

[VHDL-FPGA-VerilogHDB3

Description: 用Verilog HDL语言进行HDB3编码,并通过Quartus Ⅱ仿真验证-With the Verilog HDL language HDB3 coding, and simulation by Quartus Ⅱ
Platform: | Size: 1362944 | Author: jabeile | Hits:

[JSP/Javahdb3

Description: 对很HDB3码进行仿真的程序,里面详细说明其原理,已通过验收。-The simulation code is HDB3 on the procedures, which detail its principles, has been approved.
Platform: | Size: 1024 | Author: wangcimeng | Hits:

[Mathimatics-Numerical algorithmsHDB3

Description: 详细的HDB3码的产生,编译码,经带通滤波器,高斯白噪声信道后的功率谱密度 -Detailed HDB3 code generation, encoding and decoding, by the band pass filter, Gaussian white noise channel power spectral density after
Platform: | Size: 2048 | Author: annytan | Hits:

[Compress-Decompress algrithmsHDB3(new)

Description: 该程序完成HDB3码的编码。程序接收由20个0、1组成的数串,输出HDB3码。-HDB3 decode program
Platform: | Size: 280576 | Author: naobing | Hits:

[matlabHDB3

Description: 通信信号处理中HDB3的编解码 ,matalab仿真程序-HDB3 encode decode
Platform: | Size: 1024 | Author: 陈波 | Hits:
« 1 23 4 5 6 7 8 9 10 ... 15 »

CodeBus www.codebus.net