Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: hdb3 Download
 Description: This is a very wide of the HDB3 decoding verilog program for entry-FPGA used, verilog entry procedures for good
 Downloaders recently: [More information of uploader 378281365]
  • [hdb3] - HDB3 sending client source code, Verilog
  • [hdb3_proc] - HDB3 coding and decoding, including cloc
  • [HDB3] - HDB3 code MATLAB programs, classic, reme
  • [HDB3] - HDB3 Encoder HDB3 Encoder HDB3 Encoder
  • [vhdl_hdb3] - a VHDL source code on HDB3 encoder reala
  • [hdb3_decode] - hdb3 code encoding and decoding code, in
  • [hdbn_latest.tar] - This “core” is actually two cores – an H
  • [HDB3] - Generated by FPGA digital baseband trans
  • [hdb3_codedecode] - Achieved with the VERILOG, hdb3 encoder
  • [hdb3] - verilog的HDB3编码设计,求点数
File list (Check if you may need any files):
hdb3.txt
    

CodeBus www.codebus.net