Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: vhdl_hdb3 Download
 Description: a VHDL source code on HDB3 encoder realaized in FPGA/CPLD
 Downloaders recently: [More information of uploader xiaomj]
 To Search: HDB3
  • [hdb3] - This is a very wide of the HDB3 decoding
  • [HDB3] - Generated by FPGA digital baseband trans
File list (Check if you may need any files):
hdb3.vhd
    

CodeBus www.codebus.net