Welcome![Sign In][Sign Up]
Location:
Search -

Search list

[Other resourceframe_decode_and_encode

Description: 一个用Verilog编写的编帧、解帧及码速匹配的程序,相当经典-Verilog prepared with a series of frames, frames and solutions yards speed matching procedures, rather classic!
Platform: | Size: 3351 | Author: 李全 | Hits:

[Audio programWM8731

Description: 高品质音频编解码器WM8731的Verilog使用程序。-high-quality audio codec WM8731 Verilog procedures.
Platform: | Size: 7314 | Author: 李全 | Hits:

[Otherverilog_vga

Description: 用verilog HDL 语言写的在显示器上显示图案的源程序-with Verilog HDL language written on display in the pattern of the source
Platform: | Size: 179789 | Author: yhr | Hits:

[Otherverilog_lcd

Description: 用Verilog HDL 语言写的在LCD液晶上显示文字的源程序-with Verilog HDL write on the LCD display text of the source
Platform: | Size: 424058 | Author: yhr | Hits:

[CommunicationAltera_uart_VHDL

Description: FPGA/CPLD应用,uart通讯VHDL原码.-FPGA / CPLD applications, UART communications VHDL source.
Platform: | Size: 11063 | Author: cyberworm | Hits:

[CommunicationAltera_uart_Verilog

Description: FPGA/CPLD应用,uart的Verilog HDL原码-FPGA / CPLD applications, UART Verilog HDL source
Platform: | Size: 10421 | Author: cyberworm | Hits:

[Other resourcewavefetch

Description: ModelSim的波形比较的功能可以将当前仿真与一个参考数据(WLF文件)进行比较,比较的结果可以在波形窗口或者列表窗口中查看,也可以将比较的结果生成一个文本文件-ModelSim waveform can be compared to the current functional simulation with a reference (WLF paper ), the results can be compared in the waveform window or window List View, it will also compare the results generate a text file
Platform: | Size: 3798 | Author: cyberworm | Hits:

[Other resourceCORDIC01

Description: CORDIC算法的硬件实现 用的verilog语言-CORDIC algorithm Hardware Implementation of the Verilog language
Platform: | Size: 221251 | Author: 李文文 | Hits:

[Other resourceCpu_model

Description: Verilog HDL编写的CPU模型,很经典,比较通用-Verilog HDL prepared by the CPU model, classic, more generic
Platform: | Size: 1530 | Author: wyl | Hits:

[Other resourcebfm

Description: Verilog HDL编写的总线功能模型,十分有用,需要的下载-Verilog HDL prepared by the bus functional model is useful, it needs to download
Platform: | Size: 2015 | Author: wyl | Hits:

[Static controlclock2001

Description: 时钟模块之一:二进制转BCD码verilog源代码FPGA advantage编程环境-clock module : BCD switch binary source code Verilog FPGA advantage programming environment
Platform: | Size: 822 | Author: dandan | Hits:

[OthercrcDecode

Description: 比较完善的CRC编码VerilogHDL描述-more perfect description of CRC coding VerilogHDL
Platform: | Size: 4640 | Author: nil | Hits:

[OtherPAOBIAO_V

Description: 带音乐功能的跑表VerilogHDL描述-music with the stopwatch Verilog HDL description
Platform: | Size: 4855 | Author: nil | Hits:

[OtherMutiPipeACC

Description: 多通道的ACC设计VeerilogHDL描述-multi-channel design VeerilogHDL ACC Description
Platform: | Size: 7938 | Author: nil | Hits:

[Othergatediscrip

Description: 各种门电路模型的VerilogHDL描述-various gates model of Verilog HDL description
Platform: | Size: 2261 | Author: nil | Hits:

[Othermanydecoders_V

Description: 各种解码译码电路模型的VerilogHDL描述-various decoder decoding circuit model of Verilog HDL description
Platform: | Size: 2822 | Author: nil | Hits:

[Button controlVerilogexamples

Description: Verilog变成100例,里面包含了Verilog编程中常见的一些例子,对于新手还是很有帮助的。-Verilog into 100 cases, they include a Verilog Programming common examples is very helpful for the novice.
Platform: | Size: 43949 | Author: 张存飞 | Hits:

[Other resource8LEDverilog

Description: //led.v /*------------------------------------- LED显示模块:led(CLK,AF,ADDR,DATA) 功能: 显示 注意事项: 8位LED 参数: CLK:扫妙时钟输入,推荐1kHz AF:数码管输出,a~h ADDR:数码管选择位数出,0~2 DATA:显示数据输入0~9999 9999 编写人: 黄道斌 编写日期: 2006/07/13 -------------------------------------*/-/ / led.v /*--------------------------- ---------- LED Display Module : led (CLK, AF, ADDR. DATA) function : to show : 8 LED parameters : CLK : So Wonderful clock input, Suggest 1kHz AF : digital tube output, a ~ h ADDR : digital control options from the median, 0 ~ 2 DATA : data show that the importation of 0 ~ 9999 9999 prepared : Huang Daobin preparation date : 2006/07/13 ------------------------------ -------*/
Platform: | Size: 1746 | Author: 黄道斌 | Hits:

[Other resourcesram

Description: sram 读写小程序,用verilog编写的,请各位高手指教-SRAM read and write small programs using Verilog prepared, please enlighten you master
Platform: | Size: 1206 | Author: kevin | Hits:

[Other resourceDE2_i2sound

Description: DE2_i2sound.rar,大家快来下啊,做好了的IP核-DE2_i2sound.rar, everyone is breaking under ah, do a good job of the IP Core
Platform: | Size: 27772 | Author: zhan | Hits:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »

CodeBus www.codebus.net