Welcome![Sign In][Sign Up]
Location:
Search -

Search list

[Com Portuart_VHDL

Description: uart的vhdl实现代码 分模块设计和状态机设计 不错的,用它没错-UART achieve the VHDL code modular design and state machine design good, the right to use it
Platform: | Size: 10594 | Author: 王平 | Hits:

[Other resource8051core-Verilog

Description: 8051的源代码-8051 source code
Platform: | Size: 104724 | Author: 飞鹰 | Hits:

[Other resourceVERcf_fft_1024_8

Description: 1024点8位FFT的Verilog语言实现-1024-point FFT eight Verilog language
Platform: | Size: 11831 | Author: 郭子荣 | Hits:

[ComboBox20060215

Description: 叮叮-replaced behind the horn behind the horn behind the horn behind
Platform: | Size: 34233 | Author: mousezhong | Hits:

[Compress-Decompress algrithmsjpeg_encoder

Description: 完整的jpeg encoder verilog code,DCT部分採用1991 IEEE transection paper,利用skew circular convolution來實現精簡電路-complete jpeg encoder Verilog code, DCT is partly based on the IEEE 1991 transection paper, using skew circular convolutions to achieve streamlining circuit
Platform: | Size: 25437 | Author: 李寧 | Hits:

[Other resourceddr_verilog_xilinx

Description: 该程序是在xilinx的FPGA上实现DDR_SDRAM接口,程序是用verylog语言写的-that the procedure was in Xilinx FPGA to achieve DDR_SDRAM interface, procedures used to write the language verylog
Platform: | Size: 23407 | Author: 冯伟 | Hits:

[Other resourcejfq1

Description: vhdl和verling hdl 的加法器-VHDL and the Adder.
Platform: | Size: 1743 | Author: 李清 | Hits:

[Other resourcewatch2

Description: vhdl实现watchdog,在逻辑中可以加入本模块,实现看门狗。-VHDL achieve watchdog, the logic of the modules can be added to achieve watchdog.
Platform: | Size: 1071 | Author: rain | Hits:

[Other resource20060412183015974

Description: 是关于dct的Verilog HDL源代码和测试程序-on the Verilog HDL source code and testing procedures
Platform: | Size: 31498 | Author: 凌风 | Hits:

[Other resourcetraffic_light

Description: 一个用verilog编写的模拟交通灯控制的源代码。模拟在十字路口的双向交通灯。-a prepared using Verilog simulation of traffic lights to control the source code. Simulation at the crossroads of two-way traffic lights.
Platform: | Size: 6137 | Author: 江河 | Hits:

[Other resourcescu_all_fpga

Description: 大型嵌入式设备FPGA程序,verilog HDL语言,实现DLL和PCM码流分流。-large embedded FPGA procedures, Verilog HDL, DLL and achieve PCM stream diversion.
Platform: | Size: 3184 | Author: chenlei | Hits:

[Other resourceclockv

Description: 使用Verilog语言编写的数字钟程序.有慢校时,快校时,闹钟等功能.-use Verilog language prepared by the digital clock procedures. Schools are slow, quick school, alarm clock functions.
Platform: | Size: 5266 | Author: 刘吉 | Hits:

[Other resource1.i2c_slave

Description: I2C的slave端程序,用于响应master端,并进行通信-the slave I2C software, in response to the master terminal, and communications
Platform: | Size: 31347 | Author: 刘吉 | Hits:

[Other resourcesericommu

Description: 串口通信程序.在波特率为9600的串口通信程序-serial communication program. The baud rate for the 9600 serial communication program
Platform: | Size: 12512 | Author: 刘吉 | Hits:

[Other resourcedigitalinterfaceuart

Description: 文件说明了在fpga/cpld中怎样实现数据接口及其实例了urat-note of the document they simply / cpld How Data Interface and the examples of urat
Platform: | Size: 2954 | Author: liu | Hits:

[Other resourcewavegenerator_testbench

Description: 此文件采用了verilog语言在cpld中怎样实现波形发生器,及其验证程序-this document using the Verilog language in the cpld How to achieve waveform generator, and the verification process
Platform: | Size: 4174 | Author: liu | Hits:

[Other resourceARM9_instruction_cache_verilogCodes

Description: Arm9指令Cache缓存模块的verilog代码,对一些做ARM硬件开发的朋友有参考价值。-Arm9 Instruction Cache Cache Module Verilog code, do some of the hardware development of the ARM friends reference value.
Platform: | Size: 3077 | Author: 杨力 | Hits:

[Other resourcesong123

Description: 梁祝音乐设计 hdl语言实现 很常用-love music HDL design language is used
Platform: | Size: 1125 | Author: 愈加 | Hits:

[Other resourceethern

Description: 此代码是用Verilog实现的以太网接口,在此基础上做修改,可以作为一般的以太网接口程序开发.-this Verilog code is used to achieve the Ethernet interface, in this done on the basis of changes as a general Ethernet interface development.
Platform: | Size: 123920 | Author: 刘志明 | Hits:

[Other resourcem74148a

Description: 采用verilog设计,参考了《数字电子技术》教材,刚刚完成,与大家分享!-using Verilog design, reference to the "digital electronic technology" materials, has just been completed, to share with you! Oh
Platform: | Size: 158471 | Author: 江浩 | Hits:
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »

CodeBus www.codebus.net