Welcome![Sign In][Sign Up]
Location:
Search - vhdl

Search list

[VHDL-FPGA-VerilogVHDL

Description: 这是介绍硬件描述语言VHDL的PPT资料。很有参考价值,是初学者的良师益友,-This is the introduction of the VHDL hardware description language PPT information. Useful reference is the beginner
Platform: | Size: 30720 | Author: 徐振华 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: VHDL编程实例,使用的实例介绍了不少有用的函数。对初学者有帮助。-VHDL programming examples, using examples introduce a number of useful functions. Helpful for beginners.
Platform: | Size: 168960 | Author: fff | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 一个直接数字频率合成的查表程序,VHDL语言,使用7128调试通过-A direct digital frequency synthesis of look-up table procedures, VHDL language, using 7128 debugging through
Platform: | Size: 147456 | Author: Chen.Y.M | Hits:

[VHDL-FPGA-VerilogAMBAcode(vhdl)

Description: vhdl实现的amba代码-realize the AMBA VHDL code
Platform: | Size: 201728 | Author: sk | Hits:

[Communication-MobileLDPC(VHDL)

Description: 低密度奇偶校验码的VHDL程序,用于LDPC码的硬件实现-LDPC code VHDL program for the LDPC code of hardware implementation
Platform: | Size: 2048 | Author: 赵天婵 | Hits:

[VHDL-FPGA-VerilogVHDL-XILINX-EXAMPLE26

Description: [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现ADC0809的采样电路设计][15--DMA方式A/D采样控制电路设计][16--硬件电子琴][17--乐曲自动演奏][18--秒表][19--移位相加8位硬件乘法器][20--VGA图像显示控制器(彩条)][21--VGA图像显示控制器][22--等精度频率计][23--模拟波形发生器][24--模拟示波器][25--通用异步收发器(UART)][26--8位CPU设计(COP2000)]
Platform: | Size: 3687424 | Author: hawd | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)。 -Pseudo-random sequence generator algorithm VHDL design of a pseudo-random sequence generator, using the generation polynomial for the 1+ X ^ 3+ X ^ 7. RESET has a client request and the two control registers client to adjust the initial value (procedures set of four non-zero initial value optional).
Platform: | Size: 1024 | Author: 文成 | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 电子书... ... ... ... ....vhdl-E-books ... ... ... ... ... ... ... ... .... vhdl
Platform: | Size: 664576 | Author: | Hits:

[VHDL-FPGA-VerilogVHDL

Description: _TENNIS 基于FPGA的乒乓球游戏硬件电路的设计与实现,有完整的 代码,并有PDF详细说明如何 VHDL - www_pudn_com.files-_TENNIS Table tennis game based on the FPGA hardware circuit design and realization of a complete code, and a detailed account of how PDF has VHDL- www_pudn_com.files
Platform: | Size: 8192 | Author: 张渊杰 | Hits:

[VHDL-FPGA-Verilogvhdl-sirenqiangdaqi

Description: VHDL的四人抢答器,希望对大家有所帮助啊,-Answer four VHDL, and want to help everybody ah,
Platform: | Size: 159744 | Author: hanohen | Hits:

[VHDL-FPGA-Verilogvhdl

Description: vhdl的一些源代码,包括dds 设计,交通灯设计,信号发生器设计的一些源代码-Some of VHDL source code, including dds design, traffic signal design, signal generator designed a number of source code
Platform: | Size: 70656 | Author: 马斌 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: LCD控制VHDL程序与仿真和LED控制VHDL程序与仿真,都已调试过了-LCD control procedures and simulation of VHDL and VHDL program LED control and simulation, have been debug
Platform: | Size: 162816 | Author: gillyamylee | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 包含TLC5510 VHDL控制程序,TLC7524接口电路程序和URAT VHDL程序与仿真,都已调试过.-TLC5510 VHDL contains control procedures, TLC7524 interface circuit processes and procedures and URAT VHDL simulation, have been debug.
Platform: | Size: 92160 | Author: gillyamylee | Hits:

[VHDL-FPGA-VerilogVHDL

Description: VHDL程序集锦,很多有用程序,英文版其中有汉明码编译码,优先译码等等。-VHDL Collection procedures, many useful procedures, the English version of them hamming code encoding and decoding, the priority decoder and so on.
Platform: | Size: 168960 | Author: 萍果 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 用VHDL实现数字频率计,1. 时基产生与测频时序控制电路模块2. 待测信号脉冲计数电路模块3.锁存与译码显示控制电路模块4.顶层电路模块. -Using VHDL digital frequency meter, 1. Time-base generation and frequency measurement timing control circuit module 2. Analyte signal pulse counting circuit module 3. Latch and decoding display control circuit module 4. Top-level circuit module.
Platform: | Size: 13312 | Author: 侯治强 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 数字系统与VHDL程序设计语言,更好的学习EDA.-Digital systems with VHDL programming language, better learning EDA.
Platform: | Size: 4813824 | Author: 郝园园 | Hits:

[VHDL-FPGA-VerilogVHDL-teach

Description: VHDL简明教程,对VHDL快速入门,适合于初学者。-VHDL Concise Guide to VHDL Quick Start, suitable for beginners.
Platform: | Size: 80896 | Author: xiongwei | Hits:

[VHDL-FPGA-Verilogvhdl

Description: This file contains a selection of VHDL source files which serve to illustrate the diversity and power of the language when used to describe various types of hardware. The examp terms of basic logic gates, to more complex systems, such as a behavioural model of a microprocessor and associated memory. All of the examples can be simulated using any synthesised using current synthesis tools. -This file contains a selection of VHDL source files which serve to illustrate the diversity and power of the language when used to describe various types of hardware. The exampterms of basic logic gates, to more complex systems, such as a behavioural model of a microprocessor and associated memory. All of the examples can be simulated using anysynthesised using current synthesis tools.
Platform: | Size: 173056 | Author: gbj | Hits:

[VHDL-FPGA-Verilogvhdl-core-Kopie_von_mem32_vhd

Description: vhdl 模块对nand flash控制,实现了FPGA对NAND FLASH直接读写控制。-VHDL module nand flash control, the FPGA to realize the direct read and write control NAND FLASH.
Platform: | Size: 4096 | Author: 骑士 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 《VDHL硬件描述语言与数字逻辑》 ——————电子工程师必备知识 西安电子科技大学出版社出版 第一章 数字系统硬件设计概述 第二章 VHDL语言程序的基本结构 第三章 VHDL语言的数据类型及运算操作符 第四章 VHDL语言构造体的描述方式 第五章 VHDL语言的主要描述语言 第六章 数值系统的状态模型 第七章 基本逻辑电路设计 第八章 仿真与逻辑综合 第九章 计时电路设计实例 第十章 微处理器接口芯片设计实例 第十一章 93版和87版VHDL语言的主要区别 第十二章 MAX+plusII使用说明
Platform: | Size: 18693120 | Author: 陈松 | Hits:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »

CodeBus www.codebus.net