Welcome![Sign In][Sign Up]
Location:
Search - vhdl

Search list

[VHDL-FPGA-Verilogvhdl-arm-core

Description: 用vhdl语言实现arm内核,压缩包中有19个代码共同组成这个arm内核,程序比较大,应用时要注意那个代码是顶层实体。用quartus2软件即可打开仿真。-Vhdl language used arm core, compressed package code of 19 common core component of this arm, procedures, and application code should be noted that top-level entity. Used to open quartus2 simulation software.
Platform: | Size: 41984 | Author: 杨帆 | Hits:

[VHDL-FPGA-Verilogvhdl-clock

Description: 数字时钟的VHDL课程设计 涉及到的几个要点有 分频模块 时分秒模块 扫描模块 显示模块-Digital Clock Design of VHDL course of a few key points related to one of those who every minute frequency module module module module scan
Platform: | Size: 106496 | Author: li | Hits:

[VHDL-FPGA-Verilogfir-vhdl-code

Description: FIR FILTER CODE with VHDL
Platform: | Size: 114688 | Author: mahmoud | Hits:

[source in ebookVHDL

Description: VHDL对各种电路的基本实现,包括乘法器,触发器,加减法器等-VHDL for the basic realization of the various circuits, including multipliers, flip-flops, and other instruments used in addition and subtraction
Platform: | Size: 1138688 | Author: Michael | Hits:

[OtherCircuit.Design.with.VHDL

Description: VHDL书籍,作者Volnei A. Pedroni,三百多页,包含许多实例-VHDL books, authors Volnei A. Pedroni, more than 300 pages, contains many examples of VHDL designs
Platform: | Size: 3825664 | Author: Sun Shute | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 经典的vhdl教材,包括数字钟,cpu的编写,值得反复阅读。-Vhdl classic material, including digital clock, cpu preparation, it is worth reading again.
Platform: | Size: 6532096 | Author: dz | Hits:

[Embeded-SCM Developvhdl

Description: VHDL代码,基于FPGA的各种源代码,适合初学者-VHDL code, based on a variety of FPGA source code, suitable for beginners
Platform: | Size: 845824 | Author: coolsuo | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 哈工大的VHDL课件,对于学习VHDL语言是个非常不错的教材,讲的非常详细,还有一些VHDL的经典例子,和分析。介绍了VHDL在实际中的应用和开发流程。-HIT courseware of VHDL, VHDL language learning is a very good teaching material, talking about a very detailed, there are some classic examples of VHDL, and analysis. Described in VHDL in the actual application and development process.
Platform: | Size: 282624 | Author: 但的东 | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 学习vhdl语言的实用教程,很详细,从零开始学起,简单易行,支持-Vhdl language learning and practical tutorial is very detailed, from scratch to learn, easy to support
Platform: | Size: 1851392 | Author: lishaozhe | Hits:

[3G developofdm-vhdl

Description: ofdm的VHDL实现,包括fft,ifft,串并变换等,附详细说明文档-ofdm realization of VHDL, including the fft, ifft, such as string and transform, with detailed description of the document
Platform: | Size: 173056 | Author: 陈娟 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 正弦信号发生器具有频率调节功能。采用VHDL编程实现。-Sinusoidal signal generator with a frequency adjustment function. Using VHDL programming.
Platform: | Size: 1482752 | Author: 朱广利 | Hits:

[VHDL-FPGA-Verilogvhdl-MIPS

Description: Quartus-Altera Nios... VHDl based, complete MIPS implementation, document, flowcharts plus code
Platform: | Size: 4330496 | Author: ak | Hits:

[VHDL-FPGA-Verilogvhdl

Description: design of vhdl coding for genetic algorithm
Platform: | Size: 237568 | Author: ram kumar | Hits:

[OtherVHDL

Description: 4*4键盘扫描的VHDL程序,可消除抖动,可以帮助大家一下-4* 4 keyboard scan VHDL procedures to eliminate jitter, we can help you
Platform: | Size: 4096 | Author: 孙仲 | Hits:

[Othervhdl

Description: 本书比较系统地介绍了VHDL的基本语言现象和实用技术 全书以实用和可操作 为基点 简洁而又不失完整地介绍了 VHDL基于 EDA技术的理论与实践方面的知识 其中包括VHDL语句语法基础知识 第1章 第7章 逻辑综合与编程技术 第9章 有限状态机及其设计 第10章 基于FPGA的数字滤波器设计 第11章 多种常用 的支持VHDL的EDA软件使用介绍 第12章 VHDL数字系统设计实践介绍 第13 章 和大学生电子设计赛题的VHDL应用介绍 第14章 全书列举了大量VHDL设 计示例 其中大部分经第12章介绍的VHDL综合器编译通过 第13章的程序绝大部分 都通过了附录介绍的EDA实验系统上的硬件测试 可直接使用 书中还附有大量程序 设计和实验/实践方面的习题 本书可作为高等院校的电子工程 通信 工业自动化 计算机应用技术 电子对抗 仪器仪表 数字信号处理 图像处理等学科领域和专业的高年级本科生或研究生的VHDL 或 EDA 技术课程的教材及实验指导 也可作为相关专业技术人员的自学参考书-VHDL Is an International IEEE Standard Specification Language (IEEE 1076-2001) for Describing Digital Hardware Used by Industry Worldwide
Platform: | Size: 3144704 | Author: 何河 | Hits:

[VHDL-FPGA-VerilogI2C--VHDL

Description: I2C总线在可编程逻辑器件上实现的VHDL源码-VHDL source codes for realizing I2C
Platform: | Size: 1586176 | Author: yuchen | Hits:

[VHDL-FPGA-Verilogvhdl-wenjian

Description: 这是我的VHDL格式的电子密码锁源程序,请站长审核啊-This is my VHDL source code format of the electronic lock, please review ah owners
Platform: | Size: 2048 | Author: zaq | Hits:

[VHDL-FPGA-Verilogvhdl-2008-just-the-new-stuff-systems-on-silicon.r

Description: VHDL is defined by IEEE Standard 1076, IEEE Standard VHDL Language Reference Manual (the VHDL LRM). The original standard was approved in 1987. IEEE procedures require that standards be periodically reviewed and either reaffirmed or revised. The VHDL standard was revised in 1993, 2000, and 2002. In each revision, new language features were added and some existing features enhanced. The aim in each revision was to improve the language as a tool for design and verification of digital systems. Since the 2002 revision, there have two parallel efforts to further develop the language. The first was the VHDL Procedural Interface (VHPI) Task Force, a subcommittee of the IEEE P1076 Working Group. The VHPI Task Force prepared an interim amendment to the standard, formally approved by IEEE in March 2007. The amendment is titled IEEE 1076c, Standard VHDL Language Reference Manual—Amendment 1: Procedural Language Application Interface.
Platform: | Size: 800768 | Author: chane | Hits:

[VHDL-FPGA-VerilogWiley.FPGA.Prototyping.by.VHDL.Examples.Xilinx.Sp

Description: Wiley,FPGA Prototyping by VHDL examples Spartan 3 version,Pong Chu,
Platform: | Size: 17548288 | Author: lefteris | Hits:

[VHDL-FPGA-Verilog8-led-VHDL

Description: 8位流水灯程序设计,跑马灯效果显示,里面是VHDL程序。-8 water lamps program design, which is a VHDL program
Platform: | Size: 109568 | Author: luo | Hits:
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 50 »

CodeBus www.codebus.net