Welcome![Sign In][Sign Up]
Location:
Search - hdb3

Search list

[SourceCodeHDB3编码

Description: 本程序是关于通信原理的HDB3编码及其功率谱密度
Platform: | Size: 1429 | Author: 393909084@qq.com | Hits:

[VHDL-FPGA-Veriloghdb3 decoder

Description: 我上期做的VHDL设计方案,用于在FPGA或CPLD中实现HDB3的编码-I do view on the VHDL design options for the CPLD or FPGA to achieve HDB3 code
Platform: | Size: 119808 | Author: 王薇 | Hits:

[AlgorithmHDB3

Description: 源于老师的作业,实现将01代码转化成HDB3码,另外还有用VHDL语言编的,不过我这没有-teachers from the operations, achieving 01 HDB3 code into the code, as well as using VHDL series, but I am not
Platform: | Size: 38912 | Author: 王原 | Hits:

[JSP/JavaHDB3

Description: 此程序的功能是根据数字基带码可以进行AMI码,HDB3码转换,并画出相应的波形。-The function of this procedure is based on the number of base-band code can be AMI code, HDB3 code-switching, and draw the corresponding waveform.
Platform: | Size: 9216 | Author: 袁辉 | Hits:

[Other Embeded programhdb3

Description: hdb3的发送端源代码,采用verilog可综合格式书写。已经在多款fpga和cpld芯片成功综合实现。-HDB3 sending client source code, Verilog can be used to write an integrated format. Has been in several FPGA and CPLD chip integrated to achieve success.
Platform: | Size: 1024 | Author: frankey | Hits:

[VHDL-FPGA-Veriloghdb3

Description: 实现通信过程中的hdb3转换,是通信原理课程中很重要的部分.-Realize HDB3 communication in the process of conversion, is the Communication Theory course a very important part.
Platform: | Size: 1024 | Author: 王晓鹏 | Hits:

[VHDL-FPGA-Veriloghdb3

Description: hDB3的编解码模块 是在maxplusII 下验证过的 并且下到片子中都正确 -HDB3 codec module is tested under maxplusII and down to the film are correct
Platform: | Size: 3072 | Author: duan | Hits:

[VHDL-FPGA-VerilogHDB3

Description: 实现HDB3编码,文件清晰,执行效率高。有每条语句的说明,阅读简单。-Realize HDB3 coding, document clear, the implementation of high efficiency. Each statement has a note, reading simple.
Platform: | Size: 2048 | Author: 王青 | Hits:

[ELanguagehdb3

Description: 在VHDL平台上实现HDB3编码的源程序已调试完-In VHDL realize HDB3 encoding platform has been the source debugging End
Platform: | Size: 1024 | Author: 王晓鹏 | Hits:

[VHDL-FPGA-Veriloghdb3

Description: HDB3码的VHDL实现 共三个模块:插入V、插入B以及单双极性变换-VHDL code HDB3 realize a total of three modules: Insert V, insert B, as well as single-and double-polar transform
Platform: | Size: 1024 | Author: Xingzhi | Hits:

[CommunicationHDB3

Description: 开发环境:VC++ 通信原理练习:HDB3-Development Environment: VC++ Communication Theory to practice: HDB3
Platform: | Size: 1024 | Author: Saterday | Hits:

[matlabHDB3

Description: HDB3码的编码,图形,功率谱密度。用于通信原理教学等-Code HDB3 coding, graphics, power spectral density. Communication Theory for teaching
Platform: | Size: 1024 | Author: 连自锋 | Hits:

[OtherHDB3

Description: 通信原理HDB3码的仿真程序MATLAB中开发-Communication Theory HDB3 code simulation program developed in MATLAB
Platform: | Size: 1024 | Author: rjs | Hits:

[VHDL-FPGA-VerilogHDB3

Description: hdb3的编解码实现,用c表述的 实际应用性不强,只为说明原理。-HDB3 codec realize, with the practical application of c expression is not strong, only to illustrate the principle.
Platform: | Size: 192512 | Author: lixingjian | Hits:

[VHDL-FPGA-Veriloghdb3

Description: vhdl语言实现的hdb3编解码的功能,已完成调试。-vhdl
Platform: | Size: 1024 | Author: 王英超 | Hits:

[Software EngineeringHDB3

Description: 实现HDB3编码,使用VHDL语言,-1用01表示,1用10表示,0用00表示。-The realization of HDB3 encoding, the use of VHDL language, 01 indicated by-1, 1, 10, said that the 0 with 00.
Platform: | Size: 273408 | Author: zhangzhen | Hits:

[ELanguagehdb3

Description: 基于vhdl的hdb3编译码器的设计与实现-hdb3
Platform: | Size: 236544 | Author: fdfilkj | Hits:

[VHDL-FPGA-VerilogHDB3

Description: VHDL语言编写的HDB3码的编译码模块-VHDL language code HDB3 codec module
Platform: | Size: 439296 | Author: 容蓉 | Hits:

[VHDL-FPGA-VerilogHDB3

Description: 用VerilogHDL实现了从NRZ码到HDB3码的编码过程-NRZ code to HDB3 code using VerilogHDL
Platform: | Size: 1024 | Author: 谈钒 | Hits:

[MiddleWareHDB3

Description: HDB3编码源程序,实现该编码的程序,编码源程序。vc++环境的。-hdb3 bian ma yuan cheng xu.shi xian hdb3 de bian ma .ji yu vc++ shi yan huan jing de cheng xu.
Platform: | Size: 271360 | Author: 麻麻 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 15 »

CodeBus www.codebus.net