Welcome![Sign In][Sign Up]
Location:
Search - dds

Search list

[VHDL-FPGA-VerilogDDS

Description: 基于EPM7128的数字合成信号发生器(DDS)设计。通过对EPM7128编程,组合出地址累加器、数据锁存器、256*8位ROM空间。外接DA可实现正弦波输出功能-EPM7128-based signal generator for digital synthesis (DDS) design. EPM7128 through programming, the combination of address accumulator, data latches, 256* 8 ROM space. DA external sine wave output function can be realized
Platform: | Size: 354304 | Author: xiaoyu | Hits:

[BooksDDS

Description: DDS频率字计算,很有参考价值。 DDS频率字计算,很有参考价值。-DDS FRE
Platform: | Size: 214016 | Author: HUANGMINER | Hits:

[VHDL-FPGA-Verilogdds

Description: 这是用ALTERA里的DSP BUILDER里做的DDS模块,可以在EP1C20400里下载并通过SIGNAL TAP进行在线测试。-It is used inside the DSP BUILDER where ALTERA do DDS module, you can download a EP1C20400 through SIGNAL TAP-line testing.
Platform: | Size: 2191360 | Author: ningning | Hits:

[ARM-PowerPC-ColdFire-MIPSdds

Description: dds信号发生系统 有电路图和程序 希望对大家有帮助-dds signal circuit system and procedures, we hope to help
Platform: | Size: 872448 | Author: 王煦 | Hits:

[SCMDDS

Description: 基于FPGA的DDS程序,可产生任意频率任意相位的波形-FPGA-based DDS program, can generate any frequency arbitrary waveform phase
Platform: | Size: 2971648 | Author: juan | Hits:

[VHDL-FPGA-VerilogFPGA-DDS

Description: 在FPGA内,以查表方式实现频率直接合成器(DDS)功能。verilog源代码-In the FPGA in order to achieve the look-up table means the direct synthesizer frequency (DDS) feature. verilog source code
Platform: | Size: 2048 | Author: niuqs | Hits:

[VHDL-FPGA-Verilogdds

Description: VHDL编的CPLD正弦波产生程序用直接数值合成DDS原理驱动dac0832实现正弦波输-VHDL compiled CPLD sine wave generation process by direct numerical synthesis of theory-driven dac0832 achieved DDS sine wave input
Platform: | Size: 2048 | Author: 袁文鼎 | Hits:

[SCMDDS

Description: 基于MSP430的DDS,包含9850和9954的源代码和电路图-MSP430-based DDS, contains the 9850 and 9954 the source code and circuit diagrams
Platform: | Size: 2749440 | Author: 王健 | Hits:

[SCMdds

Description: 基于单片机与FPGA的DDS程序代码,产生任意波形-DDS-based MCU with FPGA-code, resulting in arbitrary waveform
Platform: | Size: 345088 | Author: jiangjun | Hits:

[SCMDDS

Description: 采用DDS实现数字信号发生器, 时钟频率为100MHz,可输出1K到10M的正弦波-use Direct Digital Synthesizer realize SINA wave
Platform: | Size: 8891392 | Author: LiXiuRong | Hits:

[SCMdds

Description: 数字频率合成器dds的功能文档,详细介绍dds的原理及其电路实现-DDS dds functional document, detailing the principles and circuit dds
Platform: | Size: 849920 | Author: ryanhjq | Hits:

[VHDL-FPGA-Verilogdds

Description: 采用 DDS技术 ,利用 FPGA 芯片来实现以 DDS模块为核心的正弦波形产生系统 的形成过程-Using DDS technology, the use of FPGA chips to achieve the core module DDS sine wave-shaped formation process of generating system
Platform: | Size: 737280 | Author: weiwenty | Hits:

[VHDL-FPGA-VerilogDDS

Description: 同时用verilog 语言编写dds原代码用于生成正余弦波,并在FPGA平台进行验证-described dds direct digital frequency synthesis of the basic tenets addition to the use of verilog prepared dds source used to produce sine, and FPGA development platform for verification
Platform: | Size: 1024 | Author: scond | Hits:

[VHDL-FPGA-Verilog51-DDS

Description: 不仅包含FPGA源码还包含51单片机控制源码,已经实现DDS功能,绝对原创。-Includes not only the FPGA source code also includes a 51 SCM control source, has been achieved DDS functions, absolutely original.
Platform: | Size: 2185216 | Author: 张文琪 | Hits:

[VHDL-FPGA-Verilogdds

Description: 基于FPGA的直接数字频率合成器(DDS)的设计-FPGA-based direct digital frequency synthesizer (DDS) design of
Platform: | Size: 1024 | Author: sunshine | Hits:

[VHDL-FPGA-Verilogdds

Description: 这是用VERILOG描写的一个DDS的实例,涉及到一些lpm的运用希望对大家有用-it‘s useful。
Platform: | Size: 881664 | Author: tom | Hits:

[OtherDDS

Description: 这个一个基于FPGA的DDS原代码 可以生成正弦和余弦两种波形-This is a DDS code bepend on FPGA ,it can generate two waves.
Platform: | Size: 9216 | Author: wuyanjun | Hits:

[Documentsdds

Description: dds波形发生器,产生正弦波方波三角波等不同种类的各种波形-boxingfashengqi
Platform: | Size: 1298432 | Author: gump | Hits:

[VHDL-FPGA-Verilogdds

Description: dds信号发生器,硬件测试过,效果良好。文件包含整个fpga开发过程产生的所有文件-dds signal generator, the hardware tested to good effect. File contains the entire fpga development process of all documents generated
Platform: | Size: 232448 | Author: wdw | Hits:

[Software EngineeringDDS-FAQ

Description: 美国ADI公司的官方DDS文档,包含了在DDS设计过程中出现的各种问题,并给出了详细的解答。-ADI' s DDS U.S. official documents, including the design process in the DDS issues arise, and gives a detailed answer.
Platform: | Size: 700416 | Author: wang hao | Hits:
« 1 2 3 4 5 67 8 9 10 11 ... 50 »

CodeBus www.codebus.net