Welcome![Sign In][Sign Up]
Location:
Search - cpld

Search list

[VHDL-FPGA-Verilog并口的CPLD烧录线,通过跳线支持三大厂家的CPLD/FPGA(Altera,Xilinx,Lattice)

Description: 并口的CPLD烧录线,通过跳线支持三大厂家(Altera,Xilinx,Lattice)的CPLD/FPGA烧录,附有电路图与Verilog HDL文档.使用的芯片为XC9572XL-VQ64
Platform: | Size: 2302730 | Author: mikeldm@163.com | Hits:

[VHDL-FPGA-VerilogCPLD 與 61LV256 SRAM 驱动 TFT

Description: CPLD 與 61LV256 SRAM 驱动 4.3 吋的 TFT,附 Verilog 語言範例.
Platform: | Size: 2896 | Author: xyz543 | Hits:

[Embeded-SCM DevelopJTAG仿真器CPLD

Description: JTAG仿真器CPLD -JTAG Emulator CPLD
Platform: | Size: 345088 | Author: 李秉 | Hits:

[SCMInterface 8051 to Coolrunner CPLD(Xilinx App)

Description: Interface 8051 to Coolrunner CPLD(Xilinx App)
Platform: | Size: 22528 | Author: 高威 | Hits:

[Embeded-SCM Developcpld技术及其应用

Description: 详细介绍了cpld技术的基础知识及其应用开发原理。--It describes the base of cpld technology and how it is used in application development.
Platform: | Size: 5933056 | Author: 123 | Hits:

[VHDL-FPGA-Verilog用VHDL语言在CPLD上实现串行通信

Description: 用VHDL语言在CPLD上实现串行通信-using VHDL on the CPLD Serial Communication
Platform: | Size: 4096 | Author: 陈旭 | Hits:

[VHDL-FPGA-Verilog终端CPLD逻辑工程文件

Description: 该工程文件实现ARM系统中CPLD的逻辑工作,起到外围资源的逻辑地址译码功能-realization of the project document ARM system CPLD logic, external resources have address decoding logic function
Platform: | Size: 117760 | Author: 王希 | Hits:

[VHDL-FPGA-Verilogcpld

Description: cpld与单片机接口设计,利于电子设计及应用- Interface design between microprocessor and cpld ,suit for IC design and application
Platform: | Size: 8192 | Author: 宋健 | Hits:

[VHDL-FPGA-VerilogCPLD的跑馬燈

Description: cpld的入门交流:CPLD的跑馬燈一个简易型cpld试验电路用VHDL语言遍的-cpld entry exchange : CPLD 5,250 cpld an easy-to-use test circuit using VHDL times the
Platform: | Size: 64512 | Author: 口是心非 | Hits:

[Booksfpga 和 cpld入门教程

Description: 本教程定位于FPGA/CPLD的快速入门。以ALTERA公司的芯片和相应的开发软件为目标载体进行阐述,本教程阐述了ALTERA主要系列芯片PLD芯片的结构和特点以及相应的开发软件MAX和Plusa和Quartus的使用-position in the handbook FPGA/CPLD Quick Start. With Altera's chips and the corresponding development of software for the target vector elaborate, the tutorials explain the main chips Altera PLD chips on the structure and characteristics of the corresponding software development MA Plusa and X and the use Quartus
Platform: | Size: 4328448 | Author: 小易 | Hits:

[VHDL-FPGA-Verilog用cpld实现曼彻斯特编码

Description: 用cpld实现曼彻斯特编码 用verilog HDL进行曼彻斯特编码,用于通信中-cpld achieve with Manchester encoding with Verilog HDL Manchester encoding. for Communication
Platform: | Size: 4096 | Author: 李鹏 | Hits:

[VHDL-FPGA-Verilogcpld-download-cable-tuzi

Description: cpld下载电缆的详细图纸及说明,244实现,稳定,速度不错。-cpld download cable detailed drawings and descriptions, 244 realization, stable, good speed.
Platform: | Size: 4285440 | Author: mengzi | Hits:

[SCMLG-CPLD

Description: 学习单片机、CPLD其实关键是实践,从51入门是个好选择,但不要停留在起点,学单片机方法得当是瞬间的事!但用好,就不好说了,一辈子都要努力随着产品控制技术的进步,CPLD与单片机的联系越来越密切,学会灵活应用cpld已经作为我们工程技术人 员的基本要求,抓紧时间学习吧,面对复杂的任务您就能应对自如,您的未来将更美好。 我们推荐这款实验CPLD+51MCU学习板,主要特色是集成了具有ISP功能的CPLD和Flash单片机,可以单独完成单片机和CPLD的实验,也可以通过跳线把单片机和CPLD联合起来形成一个应用系统。因此,利用本开发平台使用者既可学习单片机知识又可学习CPLD可编程逻辑方面的知识,,也可学习单片机和CPLD的联合使用,而本开发平台的价格却仅仅等同于市面上一台普通单片机开发板的价格,大大降低用户学习成本。 MCU采用AT89S52单片机同时也支持89S系列.SST系列.STC系列的单片机;CPLD采用Altera EPM7128SLC84-15,你也可以根据自己的需要采用其他的CPLD,如EPM7064 EPM7096 EPM7128E EPM7160E.下载采用ISP下载线,利用ISP下载软件,可以直接在PC上进行程序调试仿真,然后下载到板上的AT89S51以及EPM7128中. -learning microcontroller, CPLD Actually, the key is practice, from 51 portal is a good choice. But do not remain at the starting point, the school is the proper method of SCM instantaneous! But good use, not easy to say if the lifetime, we should strive to control with products and technological progress, CPLD and SCM increasingly close ties, Society has a flexible application cpld our engineering and technical personnel, the basic requirements are that the best use of time to study it. Faced with the complex task you will be able to handle easily, your future will be brighter. We recommend the type of experimental study CPLD Embedded boards, Integration is the key feature is a function of the ISP and CPLD Flash microcontroller, SCM can be alone and CPLD experiment they can also put the ju
Platform: | Size: 1024000 | Author: xcs | Hits:

[Software EngineeringFPGA.CPLD

Description: fpga cpld 常见模块设计,包括基于fpga 的全数字锁向环,基于fpga cpld 的半整数分频器的设计等,很有用-fpga cpld common module design, including fpga-based all-digital locks to the ring, Based on the semi-fpga cpld integer divider design and useful
Platform: | Size: 793600 | Author: 黎莉 | Hits:

[Embeded-SCM DevelopCPLD(FPGA)design

Description: CPLD(FPGA)的开发与应用,一本非常值得一看的书籍。-CPLD (FPGA) the development and application of a very eye-catcher of books.
Platform: | Size: 8061952 | Author: 毛毛 | Hits:

[Embeded-SCM DevelopCPLD-USB

Description: 基于CPLD的USB下载电缆设计.rar-CPLD-based design USB download cable. Rar
Platform: | Size: 254976 | Author: 徐钧 | Hits:

[Embeded-SCM DevelopCPLD+MCU

Description: 学习cpld的朋友注意了,这是一本非常好的入门书籍,对初学者是很有帮助的-learning cpld to a friend, this is a very good introductory book for beginners is helpful
Platform: | Size: 1437696 | Author: 王占涛 | Hits:

[SCMCPLD

Description: 自己现在用的CPLD下载线,用74HC244芯片 要注意设置下载模式-themselves using the CPLD downloaded line with 74HC244 attention to the chip set to download mode
Platform: | Size: 191488 | Author: | Hits:

[Embeded-SCM DevelopFPGA-CPLD-Develop

Description: EDA高手入门必看,FPGA-CPLD-开发流程。-EDA players see entry, FPGA-CPLD-development process.
Platform: | Size: 642048 | Author: 威威 | Hits:

[VHDL-FPGA-VerilogCPLD-AT89C51com

Description: 可编程逻辑器件cpld与单片机双向通信的源程序-Programmable logic device CPLD and MCU for two-way communication of the source
Platform: | Size: 1024 | Author: jjaai | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net