Welcome![Sign In][Sign Up]
Location:
Search - cpld

Search list

[Software EngineeringDesignofanMP3PortablePlayerUsingaCoolRunnerCPLD.ra

Description: 描述了用CoolRunner CPLD实现mp3 player的一种方法,值得学习
Platform: | Size: 226031 | Author: 黄强暴 | Hits:

[Embeded-SCM Develop0820

Description: CPLD在DS—FH混合扩频通信系统中的应用
Platform: | Size: 120103 | Author: 江南 | Hits:

[Other resourceshift_16

Description: VHDl硬件描述语言实现单片机与cpld通信
Platform: | Size: 32904 | Author: 石仁利 | Hits:

[Other resourceedge_detector

Description: 基于cpld的数字图像边缘检测算法的实现,vhdl源程序
Platform: | Size: 1573 | Author: jjaai | Hits:

[Other resourceFrC

Description: 用单片机与CPLD做的等精度频率计,误差精确到十亿分之一
Platform: | Size: 18198 | Author: zhouding | Hits:

[Embeded-SCM DevelopquartusII

Description: quartusII 中文使用手册,给广大cpld 及 fpga 开发用户使用,谢谢大家的支持。
Platform: | Size: 2369613 | Author: hrbu | Hits:

[Other resourcepinlv

Description: 基于单片机与CPLD的 等精度频率计,VHDL语言
Platform: | Size: 270150 | Author: 王攀 | Hits:

[Embeded-SCM Developkeyboardtest

Description: 键盘去抖动CPLD设计经过验证,可以直接用数码管显示,同时也希望大家给于新想法
Platform: | Size: 124351 | Author: tssk | Hits:

[Other resourcecpld(huaqi)

Description: 上海外滩看到的最大的LED显示屏的内核源代码,主要是完成视频信号的远距离传输的编解码与接口转换
Platform: | Size: 484494 | Author: liao | Hits:

[Software Engineering000011111

Description: 介绍了外置式USB无损图像采集卡的设计和实现方案,它用于特殊场合的图像处理及其相关领域。针对图像传输的特点,结合FPGA/CPLD和USB技术,给出了硬件实现框图,同时给出了FPGA/CPLD内部时序控制图和USB程序流程图,结合框图和部分程序源代码,具体讲述了课题中遇到的难点和相应的解决方案。
Platform: | Size: 141048 | Author: 兰升 | Hits:

[Other resource2410_buffer

Description: smdk2410 cpld code s3c2410 demo board cpld code
Platform: | Size: 79054 | Author: 士大夫 | Hits:

[Embeded-SCM DevelopCPLD_used_in_AC_motor_control_system

Description: CPLD在交流电机控制系统中的测速应用,里面有一段程序,希望有帮助
Platform: | Size: 90129 | Author: 六月的雨 | Hits:

[Embeded-SCM Develop1111

Description: FSK调制与解调VHDL程序,用cpld编写,word文档中包含详细说明
Platform: | Size: 51889 | Author: 松鹤 | Hits:

[Embeded-SCM DevelopLiodCPLD

Description: Liod平台CPLD源代码 pxa270
Platform: | Size: 864653 | Author: chezhen | Hits:

[Other resourcevcpwmcpldcar

Description: vc++与vhdl代码,cpld接受pc串口指令,输出pwm信号控制伺服电机.双通道,各128级.使用了扩展ascii码
Platform: | Size: 959427 | Author: hxf | Hits:

[Develop ToolsFPGAusingall

Description: 针对CPLD的所有应用,使自己花了好长时间才整理出来,分类
Platform: | Size: 16572630 | Author: jianfeng | Hits:

[Embeded-SCM DevelopFPGADesignGuide

Description: FPGA/CPLD设计指导准则。如基本设计原则,设计思想,基本操作技巧,常用模块。有意识地利用这些原则方法指导工作学习,可以取得事倍功半的效果。
Platform: | Size: 2974277 | Author: Jenny | Hits:

[Other resource3_Freq

Description: 3倍频实用稳定算法的VHDL实现(XILINX CPLD)
Platform: | Size: 1911 | Author: sean | Hits:

[Other resource8952_cpld

Description: 单片机用总线方式与CPLD系统进行通信。
Platform: | Size: 1669 | Author: wql | Hits:

[Software EngineeringCPLD_FPGA_STRUCTURE

Description: 讲述CPLD和FPGA的结构,对初学者很有用。
Platform: | Size: 746768 | Author: zhu wiehua | Hits:
« 1 2 ... 45 46 47 48 49 50»

CodeBus www.codebus.net