Welcome![Sign In][Sign Up]
Location:
Search - verilog

Search list

[VHDL-FPGA-Verilogverilog

Description: 北航夏宇闻verilog讲稿ppt语法入门-Wen Yu Xia Beihang verilog script syntax entry ppt
Platform: | Size: 51200 | Author: 王军 | Hits:

[Otherverilog

Description: 引入了Verilog HDL 硬件描述语言,向读者展示一种九十年代才真正开始在美国等先进的工业国家逐步推广的 数字逻辑系统的设计方法。借助于这种方法,在电路设计自动化仿真和综合工具的帮助下, 我们完全有能力设计并制造出有自己知识产权的DSP(数字信号处理)类和任何复杂的数 字逻辑集成电路芯片,为我国的电子工业和国防现代化作出应有的贡献。-The introduction of the Verilog HDL hardware description language, to show the reader a kind of nineties really began in the United States and other advanced industrial countries to gradually extend the digital logic system design. With this method, simulation and integrated circuit design automation tools help, we are fully capable to design and create their own intellectual property rights of DSP (digital signal processing) and any complex digital logic integrated circuit chip, for our electronic industry and make due contributions to national defense modernization.
Platform: | Size: 2217984 | Author: da liu | Hits:

[VHDL-FPGA-Verilogfifo-verilog

Description: 自己设计的一种FIFO寄存器,用verilog 编写,QUARTUS II下验证-Own design of a FIFO register, with verilog preparation, QUARTUS II certification under
Platform: | Size: 5120 | Author: wait | Hits:

[VHDL-FPGA-Verilogref-sdr-sdram-verilog

Description: SDRAM控制器,使用verilog编写-SDRAM controller, use the write verilog
Platform: | Size: 776192 | Author: yangbo | Hits:

[VHDL-FPGA-VerilogVerilog-pci

Description: PCI的FPGA实现,使用verilog硬件描述语言模拟pci数据接口的数据传输过程。-PCI simulation with FPGA, using the verilog hardware describing language to simulate data transfer processes on pci data interface.
Platform: | Size: 5510144 | Author: zxc | Hits:

[VHDL-FPGA-VerilogVerilog

Description: 基于Verilog的编码用BOOTH算法和移位相加实现乘法运算-BOOTH Algorithm with multiplication
Platform: | Size: 6144 | Author: 陈凯 | Hits:

[Otherverilog

Description: verilog HDL经典教程,教你快速上手-verilog HDL classic tutorial to teach you quickly get started
Platform: | Size: 4983808 | Author: chen kai xin | Hits:

[Windows Developverilog

Description: 包含了许多verilog编程的实用例子,且有运行之后的V文件,很完整-verilog
Platform: | Size: 93184 | Author: 徐军 | Hits:

[source in ebookverilog

Description: verilog描述的以太网MAC层源代码,功能正确,已经在FPGA开发板上测试!需要的赶紧下-verilog description of the Ethernet MAC layer source code, function correctly, has been tested in the FPGA development board! Need to hurry the next! ! !
Platform: | Size: 56320 | Author: WangYong | Hits:

[VHDL-FPGA-Verilogverilog

Description: verilog实现的数字频率计8位数码管输出显示同时矩形波分档输出-verilog implementation of digital frequency meter
Platform: | Size: 10240 | Author: 龚俊杰 | Hits:

[VHDL-FPGA-Verilogverilog

Description: 主要包含了用verilog语言别写的实用于视频例如LCD等显示设备的音频与视频的控制系统,其中包括了延时代码的编写模块,希望对坐显示的有所帮助!-It contains the verilog language with written and practical at the videos of other LCD and other display devices such as audio and video control systems, including the delayed preparation of the code module, want to take display help!
Platform: | Size: 9216 | Author: 熊文 | Hits:

[VHDL-FPGA-Verilogref-ddr-sdram-verilog

Description: ddr_sdram开发参考verilog建模-ddr_sdram with verilog
Platform: | Size: 753664 | Author: pengyong | Hits:

[VHDL-FPGA-VerilogVerilog-AMS

Description: Verilog-AMS的实现与仿真,对于做相关毕业设计的同学应该会有帮助-Verilog-AMS Implementation and simulation, designed for graduate students to do related should be helpful
Platform: | Size: 328704 | Author: 李博 | Hits:

[Othercoredic-verilog

Description: 采用Verilog硬件描述语言实现的三角函数sin(),cos()转换的部分代码-Adopt Verilog hardware description language realization trigonometric sin (), cos () conversion part code
Platform: | Size: 38912 | Author: sharbel | Hits:

[Program docSPI-in-Verilog-implementation

Description: SPI的Verilog实现(非常的全面和详细,还带有SPI算法的注解).-SPI in Verilog implementation (a very full and detailed, but also with the SPI algorithm annotation).
Platform: | Size: 8192 | Author: 尚林 | Hits:

[BooksVerilog

Description: 关于verilog的完全学习教程,方便大家学习verilog语言。-Verilog tutorial on the complete study, to facilitate them to learn verilog language.
Platform: | Size: 4168704 | Author: 李群 | Hits:

[VHDL-FPGA-Verilogverilog

Description: 一个很好的关于verilog的PPT 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第11章 常用逻辑的VERILOG HDL实现 第12章 XILINX硬核模块的VERILOG HDL调用 第13章 串口接口的VERILOG HDL设计-A good verilog of PPT on Chapter 1 of EDA Design and Verilog HDL language outlined in Chapter 2 based on Verilog HDL and development platform Operations Guide Chapter 3 Verilog HDL program structure VERILOG HDL languages Chapter 4 Chapter 5 for the basic elements of an integrated behavioral description statement in Chapter 6 for the verification and simulation of the behavior of the system described in Chapter 7 mission statements and prepared statements compiled in Chapter 8 VERILOG HDL design can be integrated Difficulties in Chapter 9, advanced logic design and coding style Chapter 10 Comprehensive state machine instance can be developed in Chapter 11 to achieve common logic VERILOG HDL Chapter 12 XILINX hard core module VERILOG HDL called Chapter 13 Serial Interface VERILOG HDL design
Platform: | Size: 27825152 | Author: lyy | Hits:

[VHDL-FPGA-Verilogverilog

Description: 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第11章 常用逻辑的VERILOG HDL实现 第12章 XILINX硬核模块的VERILOG HDL调用 第13章 串口接口的VERILOG HDL设计-Chapter 1 of the EDA Design and Verilog HDL language outlined in Chapter 2 based on Verilog HDL and development platform Operations Guide Chapter 3 Verilog HDL program structure VERILOG HDL languages Chapter 4 Chapter 5 of the basic elements for a comprehensive statement in Chapter 6 describe the behavior of surface and simulation to verify the behavior of the system described in Chapter 7 mission statements and prepared statements compiled in Chapter 8 VERILOG HDL design can be integrated Difficulties in Chapter 9, advanced logic design and coding style Chapter 10 to develop an integrated state machine instance 11 Common logic VERILOG HDL Chapter Chapter 12 XILINX to achieve hard-core module VERILOG HDL called Chapter 13 Serial Interface VERILOG HDL design
Platform: | Size: 27831296 | Author: lyy | Hits:

[VHDL-FPGA-VerilogVerilog

Description: Verilog数字系统设计教程夏宇闻例题源文件-Verilog Digital System Design Education Chengxia Yu Wen example source file
Platform: | Size: 548864 | Author: mingboshui | Hits:

[VHDL-FPGA-VerilogVerilog-huawei

Description: Verilog HDL华为典型电路设计。-Huawei typical Verilog HDL circuit design.
Platform: | Size: 267264 | Author: Air | Hits:
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »

CodeBus www.codebus.net