Welcome![Sign In][Sign Up]
Location:
Search - DDS

Search list

[VHDL-FPGA-VerilogDDS小数分频

Description: 文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉): DDS小数分频 ...........\Block1.vhd.bak ...........\db ...........\..\add_sub_9mh.tdf ...........\..\DDS.asm.qmsg ...........\..\DDS.asm_labs.ddb ...........\..\DDS.cbx.xml ...........\..\DDS.cmp.bpm ...........\..\DDS.cmp.cdb ...........\..\DDS.cmp.ecobp ...........\..\DDS.cmp.hdb ...........\..\DDS.cmp.logdb ...........\..\DDS.cmp.rdb ...........\..\DDS.cmp.tdb ...........\..\DDS.cmp0.ddb ...........\..\DDS.cmp2.ddb ...........\..\DDS.cmp_bb.cdb ...........\..\DDS.cmp_bb.hdb ...........\..\DDS.cmp_bb.logdb ...........\..\DDS.cmp_bb.rcf ...........\..\DDS.dbp ...........\..\DDS.db_info ...........\..\DDS.eco.cdb ...........\..\DDS.eds_overflow ...........\..\DDS.fit.qmsg ...........\..\DDS.fnsim.cdb ...........\..\DDS.fnsim.hdb ...........\..\DDS.fnsim.qmsg ...........\..\DDS.hier_info ...........\..\DDS.hif ...........\..\DDS.map.bpm ...........\..\DDS.map.cdb ...........\..\DDS.map.ecobp ...........\..\DDS.map.hdb ...........\..\DDS.map.logdb ...........\..\DDS.map.qmsg ...........\..\DDS.map_bb.cdb ...........\..\DDS.map_bb.hdb ...........\..\DDS.map_bb.logdb ...........\..\DDS.pre_map.cdb ...........\..\DDS.pre_map.hdb ...........\..\DDS.psp ...........\..\DDS.pss ...........\..\DDS.rtlv.hdb ...........\..\DDS.rtlv_sg.cdb ...........\..\DDS.rtlv_sg_swap.cdb ...........\..\DDS.sgdiff.cdb ...........\..\DDS.sgdiff.hdb ...........\..\DDS.signalprobe.cdb ...........\..\DDS.sim.cvwf ...........\..\DDS.sim.hdb ...........\..\DDS.sim.qmsg ...........\..\DDS.sim.rdb ...........\..\DDS.sld_design_entry.sci ...........\..\DDS.sld_design_entry_dsc.sci ...........\..\DDS.syn_hier_info ...........\..\DDS.tan.qmsg ...........\..\prev_cmp_DDS.asm.qmsg ...........\..\prev_cmp_DDS.fit.qmsg ...........\..\prev_cmp_DDS.map.qmsg ...........\..\prev_cmp_DDS.sim.qmsg ...........\..\prev_cmp_DDS.tan.qmsg ...........\..\wed.wsf ...........\DDS.asm.rpt ...........\DDS.bdf ...........\DDS.done ...........\DDS.dpf ...........\DDS.fit.rpt ...........\DDS.fit.smsg ...........\DDS.fit.summary ...........\DDS.flow.rpt ...........\DDS.map.rpt ...........\DDS.map.summary ...........\DDS.pin ...........\DDS.pof ...........\DDS.qpf ...........\DDS.qsf ...........\DDS.sim.rpt ...........\DDS.sof ...........\DDS.tan.rpt ...........\DDS.tan.summary ...........\DDS.vhd ...........\DDS.vhd.bak ...........\DDS.vwf ...........\lpm_add_sub0.bsf ...........\lpm_add_sub0.inc ...........\lpm_add_sub0.tdf ...........\lpm_add_sub0_waveforms.html ...........\lpm_add_sub1.bsf ...........\lpm_add_sub1.inc ...........\lpm_add_sub1.tdf ...........\lpm_add_sub1_waveforms.html ...........\lpm_add_sub2.bsf ...........\lpm_add_sub2.inc ...........\lpm_add_sub2.tdf ...........\lpm_add_sub2_waveforms.html ...........\parallel_add0.bsf
Platform: | Size: 507888 | Author: beijbinghe@163.com | Hits:

[Booksdds

Description: 直接数字频率合成器dds资料-Direct Digital Frequency Synthesizer dds information
Platform: | Size: 911360 | Author: 易小弟 | Hits:

[VHDL-FPGA-Verilogdds正弦发生器代码

Description: 讲述了dds直接数字频率合成的基本原理,同时用VHDL语言编写dds原代码用于生成正弦波,并在ISE开发平台进行仿真和MATLAB验证正弦波输出结果-described dds direct digital frequency synthesis of the basic tenets addition to the use of VHDL prepared dds source used to produce sine, and ISE development platform for simulation and verification MATLAB sine wave output
Platform: | Size: 491520 | Author: czy | Hits:

[SCMDDS数字信号发生器

Description: DDS数字信号发生器,采用AD9835DDS 专用芯片 输出范围1K--10MHZ 采用X25045作看门狗及数据存储器,用于设置各项参数的存储 内含电路图, 源程序 及一些相关资料-DDS digital signal generator, using AD9835DDS ASIC output range 1K-- Knoxville watchdog for the use of X25045 and data memory, used to set various parameters of storage containing circuit, the source and related information
Platform: | Size: 2220032 | Author: 董庆 | Hits:

[Communication-MobileDDS+PLL

Description: 基于FPGA的新的DDS+PLL时钟发生器-FPGA-based new DDS PLL clock generator
Platform: | Size: 145408 | Author: 李敏 | Hits:

[VHDL-FPGA-Verilogdds-design

Description: * DESCRIPTION: DDS design BY PLD DEVICES. * * AUTHOR: Sun Yu * * HISTORY: 12/06/2002 *-* DESCRIPTION : DDS BY PLD design Online.** AUTHOR : Sun Yu** HISTORY : 12/06/2002*
Platform: | Size: 1024 | Author: 魏杰 | Hits:

[CommunicationProject1-DDS

Description: 直接频率和成DDS,可以在Altera的FPGA下载实现-directly into DDS frequency and can be downloaded from Altera FPGA Implementation
Platform: | Size: 8192 | Author: lf | Hits:

[VHDL-FPGA-Verilogdds-design

Description: DDS design with vhdl language.
Platform: | Size: 1024 | Author: | Hits:

[SCMAD9851-dds

Description: AD9851-dds的设计资料,原代码和原理图-AD9851- dds of design data, source code and the schematic diagram
Platform: | Size: 4096 | Author: 鲁军波 | Hits:

[SCMDDS+51

Description: 本程序功能: DDS文件夹内的程序,完成直接数字频率合成功能,有正弦,三角,方波三种波形,并能扫频. 可通过键盘操作设置频率参数和选择波形种类和控制运行. 由两部分组成,"C"文件夹内,是用于在 51 单片机上运行的 C语言程序, "Verilog"文件夹内,是用Verilog语言编写的 FPGA 程序.-this program functions : DDS folder procedures, complete direct digital frequency synthesis, sine, triangle, Three square waveform, and can sweep. can be set up through the keyboard operation frequency waveform parameters and the types of choice and control operations. composed of two parts, "C" folder, for the 51 microcontroller running C Programming Language, "Verilog" folder, use the Verilog language FPGA procedures.
Platform: | Size: 1027072 | Author: 吴健 | Hits:

[SCMDDS

Description: 基于fpga,采用quartus2的DDS信号发生器,采用高速DAC908为数模芯片,并可通过51单片机送入调制信号进行FM调制-Based on the fpga, the use of the DDS signal generator quartus2 using DAC908 number of high-speed chip module, and passed into 51 single-chip FM modulation signal modulation
Platform: | Size: 2745344 | Author: 张新 | Hits:

[OtherDDS

Description: 详细介绍DDS的基本工作原理,并给出实际中常用的几款芯片的使用方法。-DDS detailed introduction of the basic working principle, and gives several commonly used in the actual use of the chip.
Platform: | Size: 1131520 | Author: 夕阳 | Hits:

[VHDL-FPGA-Verilogdds

Description: 用FPGA实现DDS,可变频,幅值由硬件完成-Using FPGA realize DDS, can be frequency, amplitude from hardware to complete
Platform: | Size: 674816 | Author: liuyu | Hits:

[OtherDDS

Description: dds->9954的pcb供大家参考,这个扳子我调通了的可以放心开办-dds-
Platform: | Size: 69632 | Author: kblost | Hits:

[SCMFPGA--DDS-PhaseMeasure

Description: Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。-Verilog realize the DDS sine wave signal generator and frequency measurement module test phase, DDS module can generate both frequency and phase difference can be preset to adjust the value of sine wave, frequency range of 20Hz-5MHz, phase range of 0 °-359 ° , measurement data and transmit them to the single-chip pin, single-chip microcomputer to calculate and display.
Platform: | Size: 1371136 | Author: haoren | Hits:

[SCM3co---dds

Description: 直接数字频率合成(DDS)程序,使用adc9851芯片-Direct Digital Synthesis (DDS), the use of chip adc9851
Platform: | Size: 1024 | Author: zhao onely | Hits:

[Otherdds

Description: 基于DDS的高性能信号源的设计 是pdf格式的哦-DDS-based high-performance signal source is designed to be Oh pdf format
Platform: | Size: 300032 | Author: whhit911 | Hits:

[VHDL-FPGA-VerilogDDS-2

Description: 用FPGA实现DDS的原理图,结构清晰,采用总线方式与外部单片机通信-FPGA realization of DDS with the schematic diagram, structural clarity, the use of bus-way communication with the outside Singlechip
Platform: | Size: 13312 | Author: 赵培立 | Hits:

[ARM-PowerPC-ColdFire-MIPSdds

Description: 此为基于ARM7编写的,采用周立功LPC2131系列ARM编写,用的是C语言,实现的是DDS AD9850 正弦波产生,晶振(参考频率)为PWM6产生,外围电路参照有关电路,不管何总都一样.-This is prepared based on the ARM7, the LPC2131 series ARM Ligong weeks to prepare, using the C language, realize that the DDS AD9850 sine wave generation, crystal (reference frequency) to produce PWM6 external circuit with reference to the relevant circuit, regardless of the total HE are the same.
Platform: | Size: 161792 | Author: shijiqian | Hits:

[VHDL-FPGA-VerilogDDS

Description: 用51和 FPGA实现的 DDS的程序-FPGA with 51 and realize the process of DDS
Platform: | Size: 5120 | Author: 胡玉贵 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net