Welcome![Sign In][Sign Up]
Location:
Search - veriloghdl

Search list

[Other resourceVerilogHDLICdesign

Description: 精通VerilogHDL:IC设计核心技术实例详解-proficient VerilogHDL : IC design example explanation of the core technology
Platform: | Size: 520998 | Author: haha | Hits:

[Other resourceRSSI_contr

Description: VerilogHDL.自动增益控制模块中产生控制电压的部分-VerilogHDL. Automatic Gain Control Module have some control voltage
Platform: | Size: 859 | Author: ww | Hits:

[Web ServerVGA_2c5

Description: FPGA EP2C5 VGA 使用verilogHdl-VGA EP2C5 FPGA use verilogHdl
Platform: | Size: 307042 | Author: liupan | Hits:

[Other resourceVerilogHDL-2ndEd

Description: 是一本好书,verilog HDL,a guide to digital design and synthesis-is a good book, verilog HDL, a guide to digital design and synthesis
Platform: | Size: 1723581 | Author: zhanghua | Hits:

[Other resourcemod6_divide

Description: 用VerilogHDL编写的,一个占空比为50%的6分频电路-prepared using Verilog HDL, a 50% duty cycle for the six sub-frequency circuit
Platform: | Size: 139827 | Author: 胡东 | Hits:

[Other resourcede_mux

Description: 一个用VerilogHDL语言编写的多路解复用器-a Verilog HDL language used in the preparation of multi-channel demultiplexer
Platform: | Size: 41469 | Author: 胡东 | Hits:

[Other resourcemod6_cnt

Description: 一个用VerilogHDL语言编写的模6的二进制计数器-a Verilog HDL language used in the preparation of the six-binary counter
Platform: | Size: 140144 | Author: 胡东 | Hits:

[Other resourcemult8x8

Description: 一个用VerilogHDL语言编写的8X8的乘法器-a Verilog HDL language used in the preparation of the multiplier 8X8
Platform: | Size: 17491 | Author: 胡东 | Hits:

[Other resource200673113201034694VerilogHDL-jichu-study

Description: 介绍VerilogHDL- 基础学习的书-introduced Verilog HDL-based learning book
Platform: | Size: 649366 | Author: lmm | Hits:

[Other resourceVerilogHDLshejifengpingqihe32weijishuqi

Description: 本文件介绍的是用VerilogHDL语言设计分频器和32位计数器.-This paper presents the design using Verilog HDL language Frequency Divider and 32 counters.
Platform: | Size: 159000 | Author: 少华 | Hits:

[Other resourceDSPBuilderFIR.files

Description: 在信息信号处理过程中,如对信号的过滤、检测、预测等,都要使用滤波器,数字滤波器是数字信号处理(DSP,DigitalSignalProcessing)中使用最广泛的一种器件。常用的滤波器有无限长单位脉冲响应(ⅡR)滤波器和有限长单位脉冲响应(FIR)滤波器两种[1],其中,FIR滤波器能提供理想的线性相位响应,在整个频带上获得常数群时延从而得到零失真输出信号,同时它可以采用十分简单的算法实现,这两个优点使FIR滤波器成为明智的设计工程师的首选,在采用VHDL或VerilogHDL等硬件描述语言设计数字滤波器时,由于程序的编写往往不能达到良好优化而使滤波器性能表现一般。而采用调试好的IPCore需要向Altera公司购买。笔者采用了一种基于DSPBuilder的FPGA设计方法,使FIR滤波器设计较为简单易行,并能满足设计要求。
Platform: | Size: 96123 | Author: yaoming | Hits:

[Embeded-SCM DevelopVerilogHDL88

Description: veriloghdl语言工具书,适合初次了解cpld和fpga工程师学习使用-veriloghdl language tool, suitable for initial understanding of fpga and cpld engineers learning
Platform: | Size: 9424720 | Author: 刘江山 | Hits:

[Other resourceVerilogHDL_huawei_ebook

Description: VerilogHDL华为入门基本教程,pdf格式。适合初学者使用。-VerilogHDL Huawei basic introductory tutorial, pdf format. For beginners.
Platform: | Size: 263278 | Author: Zhou | Hits:

[CommunicationFIRVerilogHDL

Description: it is a fir filter program VerilogHDL.-it is a filter program VerilogHDL fir.
Platform: | Size: 3995 | Author: songzhigang | Hits:

[Other resourceVGA_Controller

Description: 在AlTEA的DE2平台上用VerilogHDL实现的VGA控制模块-AlTEA in the DE2 platform with VerilogHDL achieve VGA Control Module
Platform: | Size: 1434 | Author: luoxi | Hits:

[Other resourcebjjfrequent

Description: 等精度频率计的verilogHDL的实现,我花了好长时间才写的哦
Platform: | Size: 225782 | Author: 闭金杰 | Hits:

[Other resourcecompu1

Description: 用verilogHDL写的一个risc处理器
Platform: | Size: 626405 | Author: frank | Hits:

[Other resourceA-to-D-VerilogHDL

Description: 在硬體上將十進制轉二進制,不需要使用加法器的運\算方式,大大減少運\算的時間。
Platform: | Size: 1704 | Author: 旻倫 | Hits:

[Other resourcemutiple

Description: 对PCM编码的多路复用与解复用程序,VerilogHDL源程序
Platform: | Size: 161980 | Author: LSIYA | Hits:

[Other resourceChinese_VerilogHDL.pdf

Description: 一本介绍VerilogHDL硬件描述语言的中文版简明教程,
Platform: | Size: 229856 | Author: 肖茂 | Hits:
« 1 2 3 4 5 6 78 9 10 11 12 ... 39 »

CodeBus www.codebus.net