Welcome![Sign In][Sign Up]
Location:
Search - s5

Search list

[CSharpCMOS

Description: 利用c语言读写cmos寄存器的值的程序,运行后可以让计算机进入s3,s4,s5状态并自动唤醒,另外附有cmos的spec的pdf文档-Program c language literacy CMOS register value, let the computer after running into the S3, S4, s5 status and automatic wake-up, the other with the the cmos spec pdf document
Platform: | Size: 16348160 | Author: 邱叶 | Hits:

[ELanguageS5

Description: 74154 4-to-16 decoder
Platform: | Size: 270336 | Author: zaihah | Hits:

[Software Engineeringvhdl

Description: library ieee use ieee.std_logic_1164.all entity decoder is port (clk:in std_logic clr:in std_logic data_in:in std_logic --待解码信元输入端; data_out:out std_logic) --解码信元输出端; end decoder architecture behave of decoder is component dff2 --上升沿D 触发器; port(d,clk,clr:in std_logic q:out std_logic) end component component xor2 --两输入异或门; port (a,b:in std_logic y:out std_logic) end component --一输入两输出电子开关; component switch21 port (clk,d,clr:in std_logic y:out std_logic) end component component emendation --校正电路; port (d,clk,clr:in std_logic y:out std_logic) end component signal s1,s2,s3,s4,s5: std_logic begin u0:switch21 port map (data_in ,clk,clr,s1,s2) u1: emendation port map (s1,clk,clr,s3) u2: dff2 port map (s2,clk,clr,s4) u3: xor2 port map (s3,s4,s5) data_out <= s5 end -library ieee use ieee.std_logic_1164.all entity decoder is port (clk: in std_logic clr: in std_logic data_in: in std_logic - be decoded cell inputs data_out: out std_logic) - Decoding Cell output end decoder architecture behave of decoder is component dff2- edge D flip-flop port (d, clk, clr: in std_logic q: out std_logic) end component component xor2- two input XOR gate port (a, b: in std_logic y: out std_logic) end component - an input two output electronic switch component switch21 port (clk, d, clr: in std_logic y: out std_logic) end component component emendation- correction circuit port (d, clk, clr: in std_logic y: out std_logic) end component signal s1, s2, s3, s4, s5: std_logic begin u0: switch21 port map (data_in, clk , clr, s1, s2) u1: emendation port map (s1, clk, clr, s3) u2: dff2 port map (s2, clk, clr, s4) u3: xor2 port map (s3, s4, s5) data_out < = s5 end
Platform: | Size: 377856 | Author: 刘轩赫 | Hits:

[OtherS5_100U

Description: s5-100u PLC说明书,现在老用户,越来越难找了。-s5-100u PLC manual, now the old users, more and more difficult to find.
Platform: | Size: 93184 | Author: 王一飞 | Hits:

[OtherS5--Instruction-Set

Description: s5PLC,中文指令集,看着源程式发呆的有福了。 指令集-s5PLC, Chinese instruction set, watching the source code daze blessed. Instruction Set
Platform: | Size: 11264 | Author: 王一飞 | Hits:

[OtherIP266EN

Description: S5 IP266定位模块使用说明书。老用户难找的-S5 IP266 positioning module instruction manual. Old users hard to find stuff
Platform: | Size: 2760704 | Author: 王一飞 | Hits:

[assembly languageRTC

Description: 显示当前时间日期,按Space键进入S5,30秒后机台自动唤醒-Show CMOS Current System Date ,week and time,Press <Space> to enter S5 state.System will be waken up in 30 seconds.
Platform: | Size: 2048 | Author: 张炳会 | Hits:

[Other Embeded programPG2000

Description: window下S5编程软件w indow下S5编程软件window下S5编程软件-under the window S5 programming software
Platform: | Size: 6505472 | Author: hzht | Hits:

[Linux drivers5pc100.irq

Description: 基于s5pc100开发板的linux中断服务程序源代码-the linux device source code based s5 pc100 devlopment bozrd。
Platform: | Size: 1024 | Author: 混混 | Hits:

[assembly languages5

Description: 查找字符串是否有字符“A”,如果有,执行P1段程序;若没有,执行P2。-There are characters in the search string is " A" , and if so, execute P1 segment program If not, do the P2.
Platform: | Size: 6144 | Author: zhouqiubin | Hits:

[SCMkeyboard520

Description:   按下S1数码管显示0,按下S2数码管显示01,   按下S3数码管显示012,按下S4数码管显示0123,   按下S5数码管显示01234,按下S6数码管显示012345,   按下S7数码管显示0123456,按下S8数码管显示01234567,   按下S9数码管显示12345678,按下S10数码管显示23456789,   按下S11数码管显示3456789A,按下S12数码管显示456789Ab,   按下S13数码管显示56789AbC,按下S14数码管显示6789AbCd,   按下S15数码管显示789AbCdE,按下S16数码管显示89AbCdEF。-Press S1 digital display 0, press S2 digital display 01, press S3 digital display 012, 0123 press S4 digital display, digital display 01234 press S5, S6 digital display 012345 press, press 0123456 S7 digital display, digital display by pressing 01234567 S8, S9 digital display by pressing 12345678, 23456789 press S10 digital display, press the S11 digital display 3456789A, press S12 digital display 456789Ab, S13 Digital Press display 56789AbC, press S14 digital display 6789AbCd, press S15 digital display 789AbCdE, press S16 digital display 89AbCdEF.
Platform: | Size: 20480 | Author: chen yi | Hits:

[SCMdulijianpanjaince

Description: 用数码管的前两位显示一个十进制数,变化范围为00--59, //开始时显示00,每按下S2键一次,数值加1;每按下S3键一次,数值减1;每按下S4键一次,数值归零;每按下S5键一次,利用定时器功能使数值开始自动每秒加1,再次按下S5键,数值停止自动加1,保持显示原数。-The first two digital tube display a decimal number, range 00- 59// 00 begin to show when each press S2 button once, the value plus 1 Each press S3 button once, the value minus 1 each Press S4 button once, the value zero every S5 key is pressed once, using the timer function enables automatic start values ​ ​ per second, press the S5 button again to stop automatically add a value to keep the display of the original number.
Platform: | Size: 1024 | Author: gentleman | Hits:

[Software EngineeringS5

Description: MATLAB CODE IN SIMULATION FILE MATLAB
Platform: | Size: 25600 | Author: rezakiani | Hits:

[ADO-ODBCDotNetSiemensPLCToolBoxLibrary-master

Description: - Support for Connecting to Siemens S7-300/400 and S5 PLCs - Support for reading als S7 DataTypes and Converting them to DotNet ones - Support for combining multiple different Tags to one Read-Request - Support for reading Step5 Projects (*.S5D) - Support for reading Step7 V5.5 Projects (*.S7P) - Support for MC5 to AWL or DB - Support for MC7 to AWL or DB
Platform: | Size: 13443072 | Author: nd | Hits:

[SCMzhong-duan-ji-shu-LED-blink

Description: 上一节讲了多任务中蜂鸣器驱动程序的框架,这节继续利用多任务处理的方式,在主函数中利用累计主循环次数来实现独立按键的检测。要教会大家四个知识点: 第一点:独立按键的驱动程序框架 第二点:用累计主循环次数来实现去抖动的延时。 第三点:灵活运用防止按键不松手后一直触发的按键自锁标志。 第四点:在按键去抖动延时计时中,添加一个抗干扰的软件监控判断。一旦发现瞬间杂波干扰,马上把延时计数器清零。 这种方法是我在复杂的工控项目中总结出来的。以后凡是用到开关感应器的地方,都可以用类似的方法实现软件上的抗干扰处理。 具体内容,请看源代码讲解。 (1)用矩阵键盘中的S1和S5号键作为独立按键,记得把输出线P0.4一直输出低电平,模拟独立按键的触发地GND。 (2)实现功能:有两个独立按键,每按一个独立按键,蜂鸣器发出“滴”的一声后就停。-Previous section talked about the framework of multi-tasking in buzzer driver, this section continue to use the way multi-task processing, are used to detect the use of separate keys cumulative primary cycles in the main function. Knowledge to teach you four points: First point: independent key driver framework The second point: The cumulative number of cycles to achieve the main debounce delay. The third point: flexible use grimdeath prevent the keys from the lock button has been triggered flag. The fourth point: the key to timing jitter delay, add an anti-jamming software monitoring judgments. Once an instant clutter found immediately to the delay counter is cleared. This approach is summed up in my complex industrial projects. After all switch sensors used in place anti-jamming processing software can be achieved on a similar approach. Details, see the source code to explain. (1) with S1 and S5 matrix keypad number keys as a separate button, remember to out
Platform: | Size: 3072 | Author: 高飞 | Hits:

[Othersigle_axis-controller

Description: 本程序基于STC12C5A60S2单片机和步进电机驱动器、步进电机实现了电动升降平台设计;可以通过按键S3,S4实现8位数码管的升降距离设置;S5,S7则实现电机的启动与方向控制。另外设计了槽形光电开关限位保护电路;以及手动接触开关电路;本程序控制方法可用于任何用于直线运动的机械装置控制。-The program realize the control of step motor on platform stc12c5A60s2, the key s3,s4 can configure the distance of pps ,and displaying the the specified value in seven- segment numerical tube , the key s5,s7 can change the direction and states,in additong ,the protect Circuit is designed
Platform: | Size: 19028992 | Author: lijunke | Hits:

[Delphi VCLS5-Source

Description: Delphi:此版本的 SmallStruct 5 为稳定版,可以用于商业开发,适合于C/S结构的功能复杂,业务多变的应用系统。 SmallStruct 5是一个基于配置的的应用程序框架,集成了应用程序大部分一般性功能,只要编写少量代码即可生成应用程序,并且框架本身也是可扩展的,从smallstruct3开始就是一个比较稳定的版本了。 -Delphi: This version of SmallStruct 5 stable version can be used for commercial development, suited to the function C/S structure of the complex, ever-changing business applications. SmallStruct 5 is a configuration-based application framework that integrates the majority of general application, simply write a small amount of code to generate the application, and the frame itself is scalable from smallstruct3 the beginning of a more stable version .
Platform: | Size: 50176 | Author: YCLIWG0 | Hits:

[DSP programSci_kong_aj01

Description: DSP2812 独立按键控制流水灯,按下S1键L1亮,按下S2键L1灭,按下S3键L8亮,按下S4键L8灭。按下S5键L1~L8循环流水灯亮灭。-DSP2812 separate buttons to control water lights, press S1 button L1 lit, press S2 key L1 is off, press the S3 key L8 light, press the S4 key L8 off. Press S5 button L1 ~ L8 recirculating lights off.
Platform: | Size: 220160 | Author: konghao | Hits:

[Communication-Mobilechannel_model_recommended

Description: 用于体域网体表到体表CM3(场景S4与S5)传输的信道模型,900MHz频段-This model is meant for body to body surface CM3 (Scenario S4 & S5) for 900 MHz
Platform: | Size: 1024 | Author: namrepusm | Hits:

[Windows DevelopTSC2003-WinCE5-Driver-Source

Description: wince6 TS2003驱动 s3c6410 s5 pv210 代码-WINCE5 TSC2003 s3c6410 s5 pv210 bsp
Platform: | Size: 30720 | Author: neilss | Hits:
« 1 2 3 4 5 67 8 »

CodeBus www.codebus.net