Welcome![Sign In][Sign Up]
Location:
Search - s5

Search list

[assembly languagea

Description: 统计学生成绩:设有20个学生的成绩(可以自己规定分数),试编写程序分别统计低于60分,60~69,70~79,80~89,90~99分及100分的人数,并存放到S1,S2,S3,S4,S5,S6单元中-Statistics student achievement: student achievement with 20 (you can set himself points), try to program statistics less than 60 minutes, respectively, 60 ~ 69,70 ~ 79,80 ~ 89,90 ~ 99 points and 100 points, the number of co-exist into the S1, S2, S3, S4, S5, S6 units
Platform: | Size: 4096 | Author: 向木 | Hits:

[VHDL-FPGA-Verilogdemo18_key_seg_verilog

Description: demo18 按键数码管实验 按下S3,S4,S5,S6按键后,数码管显示不同的数字-demo18 press the button digital control experiment S3, S4, S5, S6 button, digital display different figures
Platform: | Size: 165888 | Author: cgb | Hits:

[VHDL-FPGA-Verilogdemo4-keyled1

Description: demo4 按键实验 接下S3,S4,S5,S6后相应的LED会点亮-demo4 key experiment took S3, S4, S5, S6 corresponding LED will light up after
Platform: | Size: 207872 | Author: cgb | Hits:

[ELanguageLR-parser

Description: LR分析器设计 给定说明语句的拓广文法G[S ]如下: (0) S ->S (1) S->v I:T (2) I->I,i (3) I->i (4) T->r 其中v代表终结符var,r代表real。 其识别规范句型活前缀的DFA及LR(0)分析表如下: 输入 状态 ACTION表 GOTO表 v i , : r # S I T 0 S2 1 1 acc 2 S4 3 3 S6 S5 4 r3 r3 r3 r3 r3 r3 5 S9 8 6 S7 7 r2 r2 r2 r2 r2 r2 8 r1 r1 r1 r1 r1 r1 9 r4 r4 r4 r4 r4 r4 编程实现此文法的LR分析器,并设输入的文法的句子为: var i , i , i : real 给出输出结果 -LR parser design Given that statement, The Extension of the grammar G [S ] as follows: (0) S -> S (1) S-> v I: T (2) I-> I, i (3) I-> i (4) T-> r One representative of terminator v var, r representative of real. Living patterns of its identification Specification prefix DFA and LR (0) analysis as follows: Input GOTO table table status ACTION v i,: r# S I T 0 S2 1 1 acc 2 S4 3 3 S6 S5 4 r3 r3 r3 r3 r3 r3 5 S9 8 6 S7 7 r2 r2 r2 r2 r2 r2 8 r1 r1 r1 r1 r1 r1 9 r4 r4 r4 r4 r4 r4 Programming LR parser article law, and set the input sentence is the grammar: var i, i, i: real Given output
Platform: | Size: 212992 | Author: 浮云 | Hits:

[Game Hook Crack1.00.90-MCTeam-Season-4-and-Season-5

Description: Mu Online Source codes of MC Team, they have a lot of new information to learn, the dll is coded for 1.00.90 (that its S5)
Platform: | Size: 117760 | Author: ivan | Hits:

[assembly languageXSCGFP

Description: 设有16个学生的成绩分别如下:56,78,83,67,39,48,96,76,86,62,100,57,85,82,71,92。试编程分别统计低于60分,60-69、70-79、80-89、90-99及100分的人数,并存放到S5,S6,S7,S8,S9及S10单元中。-There were 16 students scores are as follows: 56,78,83,67,39,48,96,76,86,62,100,57,85,82,71,92. Statistical test program were less than 60 minutes ,60-69 ,70-79 ,80-89 ,90-99 and the number 100, and place them into S5, S6, S7, S8, S9 and S10 unit.
Platform: | Size: 1024 | Author: 王瑞 | Hits:

[SCM1602wnltiaojie

Description: 单片机控制1602液晶显示万年历,利用三个按键来调节时间---希望带给你帮助 把1602液晶插入51hei-5型单片机开发板后,即可显示时间 调节时间使用int0,s4和s5这3个按键 -MCU control liquid crystal display 1602 calendar, the use of three buttons to adjust the time--- you want to help to bring 1602 into 51hei-5-type microcontroller development board, you can adjust the time display time using int0, s4 and s5 three button
Platform: | Size: 22528 | Author: lishenfei | Hits:

[Communication-Mobiles5

Description: Performance Analysis of the pi/4-DQPSK-OFDM System with Equalizer for the Wireless Multimedia Communication
Platform: | Size: 160768 | Author: sayeed | Hits:

[SCMIO-shanshuo

Description: 关于CC2530的io闪烁实验。CC2530外围电路关于io的设计为灯LEDA、LEDB分别接P0_6、P0_7,按键S5、S4、S3分别接P1_0、P1_1、P1_2。-The programme is about the experiment of CC2530 io.The details will be displayed in programme.
Platform: | Size: 23552 | Author: leedy | Hits:

[FlashMXeggs

Description: flash s5 砸金蛋流游戏源码,内有fla ,swf as文件-Flash game s5 smashing the golden eggs flow source
Platform: | Size: 76800 | Author: 乐乐 | Hits:

[SCMdisp

Description: 用数码管前两位显示一个十进制数,变化范围为00~59,开始时显示00,每按下S2键一次,数值加1;每按下S3键一次,数值减1;每按下S4键一次,数值归零;按下S5键一次,利用定时器功能使数值开始自动每秒加1,再次按下S5键,数值停止加1,保持显示原数。-Digital tube first two shows a decimal number, range 00 to 59, the beginning of display 00, press S2 button once, incremented by 1 press S3 button once, the value minus 1 Each time you press S4 button. time, the value zero press the S5 key once, use the timer feature allows the value to start automatically every second plus 1 is pressed again the S5 key values ​ ​ stop plus 1, to maintain the original number is displayed.
Platform: | Size: 1024 | Author: shejizhe08 | Hits:

[Windows CES5

Description: wince画线源代码+窗口最大化,vs2005,wince5.0-the wince drawing a line source+ maximize the window, VS2005, wince5.0
Platform: | Size: 5651456 | Author: 季伟 | Hits:

[Energy industrySH1V6.22

Description: sinec h1driver for s5 plc
Platform: | Size: 494592 | Author: ahmed | Hits:

[source in ebookdemo11-mlt1_vhdl

Description: 乘法器实验,按动S3,S4,S5,S6按键,可以将S3,S4,S5,S6相乘的结果在LED上显示-Multipliers experiments press S3, S4, S5, S6 keys, can be S3, S4, S5, S6 is the result of multiplying the LED display
Platform: | Size: 226304 | Author: 霍赞 | Hits:

[assembly languagescore

Description: 设有10个学生成绩,分别统计低于60分、60~69分、70~79分、80~89分、90~ 99分及100分的人数,并存放于S5、S6、S7、S8、S9、S10单元中。 -There are 10 pupils, respectively statistics less than 60 points, from 60 to 69, 70 to 79 minutes at 80 to 89 points, from 90 to 99 points and 100 points, and deposited in S5, S6, S7, S8, S9, S10 unit.
Platform: | Size: 1024 | Author: 吴薛明 | Hits:

[Program docs5cab

Description: simatic S5 comunication cable schematic
Platform: | Size: 126976 | Author: JiRa | Hits:

[Other101306118

Description: 实验三 LR分析法的实现 一、实验目的 实现一个关于表达式的LR语法分析程序,识别用户输入的包含变量与整数的混合算术表达式(不包含减法与除法运算)。 -二、实验主要内容 1、文法如下: 0) S E 1) E E+E 2) E E*E 3) E (E) 4) E i 2、对应的LR分析表如下: 状态 ACTION GOTO + * ( ) i # E 0     S2   S3   1 1 S4 S5       acc   2     S2   S3   6 3 r4 r4   r4   r4   4     S2   S3   7 5     S2   S3   8 6 S4 S5   S9       7 r1 S5   r1   r1   8 r2 r2   r2   r2   9 r3 r3   r3   r3   3、编程运用上述LR分析表,识别从键盘输入的算术表达式。 4、对于语法错误,要指出错误具体信息。
Platform: | Size: 2048 | Author: 石一峰 | Hits:

[VHDL-FPGA-Verilogsequence_detector

Description: 序列检测器的设计师用Verilog语言实现的,实现了状态之间的有效处理,在FPGA开发板上可运行-module xulie_check(clk,rst,x,y) output y input clk,rst,x reg y reg [2:0] state parameter s0=0,s1=1,s2=2,s3=3,s4=4,s5=5,s6=6,s7=7 always@(posedge clk or negedge rst)
Platform: | Size: 347136 | Author: zhanghf | Hits:

[Internet-NetworkAdslDialup

Description: 以前在游戏工作室上班时写的一个小工具,功能:在虚拟机上同时拨10条adsl获得10个不同的IP,同时设置路由表,然后把10个IP发送到指定的数据库中。每个IP的跃点数设置成一样,这样就是10个不同的IP出口,然后做成s5代理给挂机的电脑使用。-A small tool, written in the previous game studio to work function: on the same virtual machine to allocate 10 adsl 10 different IP, set the routing table at the same time 10 IP, and then sent to the specified database. Each IP metric set to the same, so that 10 different IP exports, then made the s5 agent to hang up the computer use.
Platform: | Size: 57344 | Author: 王俊 | Hits:

[assembly language2

Description: 统计学生成绩设有20个学生成绩分别56、78、67、40、87、99、63、51、74、100,90,80,68、88、55、66、84、96、45、73分。编写程序实现统计低于60分、60-69分、70-79分、80-89分、90-99分及100分的人数,并分别存放在s5、s6、s7、s8、s9、s10单元中。-Student achievement statistics has 20 student achievement respectively 56,78,67,40,87,99,63,51,74,100,90,80,68,88,55,66,84,96,45,73 points . Procedures for the preparation statistics less than 60 ,60-69 ,70-79 ,80-89 ,90-99 minutes and 100 minutes, and were stored in S5, s6, S7, S8, S9, S10 unit in.
Platform: | Size: 1024 | Author: luanhi | Hits:
« 1 2 3 4 56 7 8 »

CodeBus www.codebus.net