Welcome![Sign In][Sign Up]
Location:
Search - SIN

Search list

[Communication-Mobilesin

Description: 正玄函数的功能包括它的频率和幅度以及与它相关的相位问题的-Hyun function is the function including its frequency and amplitude and phase associated with it problems
Platform: | Size: 1659904 | Author: 刘小洋 | Hits:

[assembly languagefft1024

Description: an implementation of fft 1024 with cos and sin generated by matlab.
Platform: | Size: 21504 | Author: Leith esti | Hits:

[VHDL-FPGA-Verilogsin

Description: 用Verilog语言在FPGA内实现一256个采样点的正弦波,已尝试,挺好用的-Verilog language used in the FPGA to achieve one of the 256 sampling points sine wave, I have already tried it, very useful~ ~ ~
Platform: | Size: 104448 | Author: | Hits:

[Remote ControlScktComp.Sin.Example.Rar

Description: 带粘包处理的ScktComp Sin Example Rar-ScktComp Sin Example Rar
Platform: | Size: 35840 | Author: lovehuaibb | Hits:

[ELanguagesin

Description: 正弦信号发生器源文件实现正弦信号发生器,非常有用,欢迎下载。-Sinusoidal signal generator source file achieve sinusoidal signal generator, very useful and welcome to download.
Platform: | Size: 1024 | Author: lee | Hits:

[assembly languagedsp-sin

Description: 汇编语言产生的查表法实现正弦波发生器的程序,需要的下载。-Assembly language generated by sine wave generator look-up table method implementation procedures, the required download.
Platform: | Size: 2048 | Author: 杨树涛 | Hits:

[VHDL-FPGA-VerilogCordicNCO

Description: 基于CORDIC算法的,数字控制振荡器的设计。带测试程序,输入一个振荡频率,输出SIN和COS的波形!-Based on the CORDIC algorithm, the digital controlled oscillator design. With test procedures, enter a oscillation frequency, the output waveform SIN and COS!
Platform: | Size: 4096 | Author: 咚咚 | Hits:

[VHDL-FPGA-VerilogRomNCO

Description: 基于NCO的数字控制振荡器。带测试程序,输出12位的COS和SIN波形。-Based on the digital control oscillator NCO. With test procedures, the output 12 of the COS and the SIN waveform.
Platform: | Size: 29696 | Author: 咚咚 | Hits:

[AI-NN-PRGA

Description: 遗传算法,包含选择,交叉,变异等操作,可求出Y=sin(x)在0-2π的最大值和最小值-Genetic algorithm, including selection, crossover and mutation operation, etc., can be obtained Y = sin (x) at the 0-2π Maximum and minimum
Platform: | Size: 539648 | Author: frank | Hits:

[ActiveX/DCOM/ATLComplexFunction

Description: 本程序包括大部份复数处理函数:abs()、arg()、conj()、sin()、cos()、sinh()、cosh()、exp()、log()、log10()、norm()、polar()、pow()、sqrt()等。-look it yourself.
Platform: | Size: 1010688 | Author: 雾水葛 | Hits:

[SCMsin

Description: 简易正弦波\三角波\方波发生器,使用keil C51,12MHZ晶振,AT89C2051-Simple sine wave \ triangle wave \ square-wave generator, use keil C51, 12MHZ crystal, AT89C2051
Platform: | Size: 2048 | Author: 张励志 | Hits:

[OtherSIN

Description: 简易正弦波发生器 //精英团队提供 //控制信号 :P20 //数据线 :P0口-include <reg52.h> sbit WR2 = P2 ^ 0 unsigned char code sin[256]
Platform: | Size: 1024 | Author: 刘海 | Hits:

[DSP programsin_cos

Description: Sin & Cos generator (one from DSP steps)
Platform: | Size: 38912 | Author: jools | Hits:

[SCMsin

Description: 产生一个周期性的正弦波信号 利用查表可以实现-generate a sin signle
Platform: | Size: 1024 | Author: 姬芳 | Hits:

[Software Engineeringcalculator

Description: calculator, sin ,cos,multi, loga,-calculator, sin ,cos,multi, loga,...
Platform: | Size: 3163136 | Author: khanh | Hits:

[matlabfft_plot

Description: routine to take the Fourier transform of a signal and plot both the time and frequency domain representations. Typical use: >> n = 100 number of data points >> dt = 0.01 spacing between samples >> t = 0:dt:(n-1)*dt time vector >> y = sin(2*pi*10*t) 10 Hz sin wave >> fft_plot(y,dt) plot of signal in time and frequency domain -routine to take the Fourier transform of a signal and plot both the time and frequency domain representations. Typical use: >> n = 100 number of data points >> dt = 0.01 spacing between samples >> t = 0:dt:(n-1)*dt time vector >> y = sin(2*pi*10*t) 10 Hz sin wave >> fft_plot(y,dt) plot of signal in time and frequency domain
Platform: | Size: 1024 | Author: balloo | Hits:

[SCMdds_synthesizer_latest.tar

Description: dds synthizer used to generate digital cos and sin
Platform: | Size: 519168 | Author: ahmed | Hits:

[Othersin

Description: 方波产生部分代码,正弦波产生部分代码,仅供新手参考-Square-wave part of the code generated, part of the code generated sine wave
Platform: | Size: 2048 | Author: dede | Hits:

[ARM-PowerPC-ColdFire-MIPSsin

Description: 为单片机生成任意位数(常用为8或10位)的正弦信号值(可产生256个值或其他)-For the generation of arbitrary single-chip median values of the sinusoidal signal
Platform: | Size: 37888 | Author: 高远 | Hits:

[CSharpPWM_sin

Description: PWM-SIN显示,C语言程序,AVR开发板编写,即SPWM单片机产生-PWM-SIN show, C language programs, AVR development board to prepare, that is, generate SPWM Microcontroller
Platform: | Size: 13312 | Author: minqiang | Hits:
« 1 2 3 4 5 67 8 9 10 11 ... 50 »

CodeBus www.codebus.net