Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: RomNCO Download
 Description: Based on the digital control oscillator NCO. With test procedures, the output 12 of the COS and the SIN waveform.
 Downloaders recently: [More information of uploader wendy_cn]
 To Search: nco vhdl sin sin cos NCO verilog
File list (Check if you may need any files):
RomCos.v
RomNCO.v
RomSin.v
rom_cos_12_12.mif
rom_cos_12_12.txt
rom_sin_12_12.mif
rom_sin_12_12.txt
tb_RomNCO.vhd
    

CodeBus www.codebus.net