Welcome![Sign In][Sign Up]
Location:
Search - nco

Search list

[Communication-MobileNCO

Description: 一個有關於數值控制震盪器(NCO)的檔案,用Matlab的Simulink開啟即可.-a shaker on the numerical control (NCO) files, Matlab Simulink can be opened.
Platform: | Size: 10923 | Author: 林家民 | Hits:

[Other resourcenco

Description: 用VHDL语言写好得,直接可用NCO设计
Platform: | Size: 34317 | Author: long | Hits:

[OtherCORDIC经典论文

Description: CORDIC经典论文,用来做NCO
Platform: | Size: 309752 | Author: maotouying | Hits:

[Communication-MobileNCO

Description: 一個有關於數值控制震盪器(NCO)的檔案,用Matlab的Simulink開啟即可.-a shaker on the numerical control (NCO) files, Matlab Simulink can be opened.
Platform: | Size: 10240 | Author: 林家民 | Hits:

[matlabCORDIC_mixer

Description: FPGA可实现的,使用cordic算法的NCO模块混频模块。该模块基于cordic原理,算法中只需要加法和移位运算既可以完成信号的混频功能-FPGA can be achieved, the use of the NCO cordic algorithm module mixing module. Cordic module based on the principle, the algorithm only needs Adder and shift operator can complete signal mixing function
Platform: | Size: 1024 | Author: rossi | Hits:

[VHDL-FPGA-VerilogNCO_ip

Description: NCO的VHDL程序,是利用IP核生成的,超好的,快下吧-NCO of the VHDL process is the use of nuclear-generated IP, super good, fast, are you
Platform: | Size: 128000 | Author: 张俊 | Hits:

[Post-TeleCom sofeware systemsSDR

Description: 一个DQPSK信号接收与发送部分的软硬件设计。其中IF=70MHZ,信息速率=10MBS,采用带通信号采样技术,数字正交解调和调制技术。NCO频率分辨率为32位,相位分辨率为10位-A DQPSK signal reception and transmission part of the software and hardware design. Which IF = 70MHZ, information rate = 10MBS, the use of bandpass sampling technique, the digital quadrature demodulation and modulation techniques. NCO frequency resolution of 32, phase resolution of 10
Platform: | Size: 11264 | Author: 袁峰 | Hits:

[source in ebooknco

Description: 用VHDL语言写好得,直接可用NCO设计-VHDL language was used to write directly available NCO design
Platform: | Size: 34816 | Author: long | Hits:

[VHDL-FPGA-VerilogNCO

Description: 基于FPGA和SRAM的数控振荡器的设计与实现-SRAM-based FPGA and NCO of the design and implementation
Platform: | Size: 45056 | Author: gsg | Hits:

[VHDL-FPGA-Verilognco

Description: 基于DSP builder搭建的DDS模块,可以用在数字下变频中的NCO等-Based on DSP builder to build the DDS module can be used in digital down-conversion of the NCO, etc.
Platform: | Size: 7168 | Author: 郑程 | Hits:

[matlabnco

Description: parametered dds, nco by matlab
Platform: | Size: 1024 | Author: liuzhaohui | Hits:

[DSP programnco

Description: 一个NCO的定点数模型,结构完整,功能正确。是用DSP BUILDER做的,自己做的-NCO of the fixed points of a model, structural integrity and function correctly. DSP BUILDER is done, do their own
Platform: | Size: 7168 | Author: 霍文辉 | Hits:

[VHDL-FPGA-VerilogNCO

Description: 是数控振荡器的程序,能够产生正弦和余弦信号,是上、下变频技术的主要步骤-NCO of the program is capable of generating sine and cosine signals, is on the main steps of down-conversion technology
Platform: | Size: 1024 | Author: 笙箫 | Hits:

[VHDL-FPGA-VerilogNCO

Description: 关于FPGA设计实现NCO,包括查找表法和CORDIC算法的改进-FPGA design and implementation on the NCO, including the look-up table method and the CORDIC Algorithm
Platform: | Size: 1444864 | Author: 张子龙 | Hits:

[matlabnco

Description: A numerically-controlled oscillator (NCO) is a digital signal generator which creates a synchronous (i.e. clocked), discrete-time, discrete-valued representation of a waveform, usually sinusoidal.
Platform: | Size: 12288 | Author: rita | Hits:

[VHDL-FPGA-VerilogNCO

Description: 基于FPGA的NCO数字化实现方法,并从原理上作了必要的分析-NCO of digital FPGA-based implementation, and made from the principle of the necessary analysis
Platform: | Size: 186368 | Author: fy | Hits:

[VHDL-FPGA-Verilognco

Description: 数字接收机DDS中NCO设计,vhdl代码参考-NCO of DDS in a digital receiver design,vhdl code reference
Platform: | Size: 1024 | Author: rickdecent | Hits:

[VHDL-FPGA-VerilogNCO

Description: 用verilog语言写的NCO,在quartus环境中应用-Verilog language written with NCO, quartus environment in the applications
Platform: | Size: 3072 | Author: 刘春 | Hits:

[matlabNCO-chazhao

Description: NCO查找法matlab代码 仿真代码 数字频率控制字可以改-NCO search method matlab code simulation code digital frequency control word can be changed
Platform: | Size: 3072 | Author: 李康裕 | Hits:

[Communication-MobileNCO

Description: 一种基于FPGA的数控振荡器技术的实现方法(FPGA implementation of NC oscillator NCO)
Platform: | Size: 1764352 | Author: 哈迪 | Hits:
« 12 3 4 5 6 7 »

CodeBus www.codebus.net