Welcome![Sign In][Sign Up]
Location:
Search - SIN

Search list

[Algorithmautocompu

Description: 此算术表达式解析器能够在程序运行时根据输入的变量大小和算术表达式动态解析表达式,得到运算结果。支持多项算术运算符和数学函数如下:: + - * / ^ ( ) mod abs, atan, cos, exp, ln, round, sin, sqrt, sqr, trunc-this arithmetic expression parser procedures in the light of input variable size and arithmetic expressions Dynamic Analysis expression, to be Operational results. Support a number of arithmetic operations and mathematical functions are as follows : :-*/^ () mod abs, atan, cos. exp, ln, round, sin, sqrt, sqr, trunc
Platform: | Size: 45056 | Author: riddle | Hits:

[Waveletcwt

Description: 小波变换例子--f(t)=sin(2π×500t)+sin(2π×1000t)+1.5δ(t-165)+1.5δ(t-207)的小波变换-Wavelet Transform example- f (t) = sin (2π × 500t)+ Sin (2π × 1000t)+ 1.5δ (t-165)+ 1.5δ (t-207) Wavelet Transform
Platform: | Size: 1024 | Author: hauyichu | Hits:

[source in ebooksin

Description: 正弦波源程序————matlab 正弦波源程序----- Matlab source sine wave sine wave source
Platform: | Size: 1024 | Author: shang | Hits:

[SCMSIN

Description: 利用单片机以及DA0832产生正弦波的C51源程序-As well as the use of single-chip generated sine wave DA0832 source of C51
Platform: | Size: 507904 | Author: 陈好 | Hits:

[MiddleWareTABLE8

Description: sin函數table表
Platform: | Size: 1024 | Author: 雄者為王 | Hits:

[SCMsin

Description: 以SPCE061A单片机为核心,通过DDS合成技术设计制作了一个步进值能任意调节的多功能信号源。该信号源在1KHz~10MHz范围能输出稳定可调的正弦波,并具有AM、FM、ASK和PSK等调制功能。信号输出部分采用低损耗电流反馈型宽带运放作电压放大,很好地解决了带宽和带负载能力的要求。系统带中文显示和键盘控制功能,操作简便,实现效果良好。-SPCE061A can preview and so on 片 Lennon为, Center Services Toru DDS magnetic rude summarized chirpy术instance, a few leftover制brazing stamp festival值vehicles Qin Kui 任 comment slander people cavity features信ulcers ulcers信Tai Tai 。 clams Now 1KHz `10MHz Kui Yu围HUAI embankment稳corner cavity strip Qin Shu Hao ,弦bleed at the nose of sweet seized AM) FM) ASK Core inflation PSK Tan制features 。信ulcers HUAI snapped embankment Qun Xu malaria tablets using delicious cream changchu destroyed sneeze型compliance turbulent changchu压brazing temperature side of bridge , exhaust temperature submerged low-hua chiao suspect left Rui turbulent turbulent compliance pupa Xikui weak potato cavity lynx 求 。 department turbulent conduct anti中striped text显Rui-ying制satirical features captured , , Fuzeng brazing Canals Xi现invalid wife of mother
Platform: | Size: 346112 | Author: 郑坤 | Hits:

[SCMsin

Description: DAC0800产生幅度可调正弦波的程序,调试通过了哦-Generated sine wave amplitude adjustable DAC0800 procedures, debugging through the oh
Platform: | Size: 293888 | Author: 顾昊琳 | Hits:

[Othersin

Description: Quartus II设计正弦信号发生器。本节通过正弦信号发生器的设计对QuartusII的一些重要功能作一些说明。对本节的详细了解有利于对以后章节有关DSP Builder的应用和设计有更好的理解。-Quartus II design sinusoidal signal generator. This section through the sinusoidal signal generator QuartusII the design of some of the important features to make some notes. Of this section to learn more about the future in favor of the relevant sections of the application of DSP Builder and design have a better understanding.
Platform: | Size: 869376 | Author: yehui | Hits:

[CSharpAutoControlPower

Description: 用。Net实现的基于研华A/D卡的实验电源程序,可以输出锯齿、Sin、方波等电压,是科研机构、实验室、自控厂家的必备工具-Used. Net-based Advantech realize A/D card Experimental Power program, you can output sawtooth, Sin, square-wave voltage, etc., are scientific research institutions, laboratories, self-control an indispensable tool for manufacturers
Platform: | Size: 1277952 | Author: sdfsjf | Hits:

[source in ebooksin

Description: 使用次小软件能方便的得到正弦函数的坐标点-The use of software can make it easier for small times the sine function to be the coordinates of points
Platform: | Size: 4096 | Author: ouguoqiang | Hits:

[VHDL-FPGA-Verilogcordic_3

Description: 流水线结构的cordic,可以输出sin/cos-Pipelined structure cordic, can output sin/cos
Platform: | Size: 1024 | Author: zq | Hits:

[VC/MFCsource

Description: 本程序是完成一个函数计算器的功能,通过输入表达式,然输入表达的未知数,则可以计算出表达式的值来:如:a+b+c+sin(a+b),分别输入a ,b ,c 的值,就可以计算表达式的值-This procedure is to complete the function of a function calculator, by entering the expression, but expression of unknown input, you can calculate the value of the expression to: if: a+ B+ C+ Sin (a+ B), respectively, enter a , b, c values, we can calculate the value of expression
Platform: | Size: 2238464 | Author: 王波 | Hits:

[MPIsinx

Description: sin(x)的并行程序,用mpi库和c语言编程-sin (x) of the parallel program, using mpi library and c language programming
Platform: | Size: 1024 | Author: 阿妍 | Hits:

[assembly languagesin

Description: 正弦波。大家看一下请指正-Sine wave. Members to see, please correct me
Platform: | Size: 1024 | Author: 张旭 | Hits:

[Graph Drawingsin

Description: 绘制正弦曲线。多媒体教学时,经常需要动态的画出各种曲线进行教学演示,以加深学生对知识的了解,本程序可以实现,绝对的源码,编译后即可运行-Drawing sinusoid. Multimedia teaching, we often need to draw a variety of dynamic presentations for teaching and learning curves, so as to enhance students knowledge, the program can realize the absolute source, the compiler can be run after
Platform: | Size: 35840 | Author: skybluries | Hits:

[VHDL-FPGA-VerilogSin_wave

Description: sin波形信号发生起的程序 VHDL语言描述 QUartus-sin waveform signal from the procedure described in VHDL language Quartus
Platform: | Size: 483328 | Author: luyingc | Hits:

[AI-NN-PRyj1

Description: 简单一元函数优化实例,利用遗传算法计算函数f(x)=x*sin(10pi*x)+2.0,-1<=x<=2的最大值-One dollar a simple example of function optimization using genetic algorithm function f (x) = x* sin (10pi* x)+ 2.0,-1 <= x <= 2 the maximum value of
Platform: | Size: 1024 | Author: 杜勇 | Hits:

[AI-NN-PRwork

Description: 根据解空间的维数可以将优化问题分为一维空间优化和多元优化问题。本程序以求函数:f(x)=x+10*sin(5x)+7*cos(4x),0=<x<=9为例说明遗传算法的源程序-According to the dimension of solution space optimization problem can be divided into one-dimensional space optimization and multi-optimization problem. This procedure in order to function: f (x) = x+ 10* sin (5x)+ 7* cos (4x), 0 =
Platform: | Size: 8192 | Author: lizhizheng | Hits:

[Graph Drawingex04a

Description: 在学习mfc的过程中,我做了一个简单的画图程序(sin曲线),并且可以可变振幅,长度,可频率,状态栏能显示时间,鼠标坐标-Mfc in the learning process, I make a simple drawing program (sin curve) and can be of variable amplitude, length, may be the frequency of the status bar can display time, the mouse coordinates
Platform: | Size: 1984512 | Author: | Hits:

[VHDL-FPGA-Verilogsinfunction

Description: 用cordic算法实现超越函数,sin,cos用此方法也可以实现其他的sinhx,coshx,ex.代码用verilog编写-CORDIC algorithm with transcendental function, sin, cos by this method can also realize other sinhx, coshx, ex. Verilog code used to prepare
Platform: | Size: 236544 | Author: yu_leo | Hits:
« 1 2 3 45 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net