Welcome![Sign In][Sign Up]
Location:
Search - DE2

Search list

[VHDL-FPGA-VerilogDE2_SD_Card_Audio

Description: SD卡读取音频数据,由VGA显示。Verilog HDL语言编写,适用DE2实验箱-SD card reader audio data from the VGA display. Verilog HDL language, the application of the experimental box DE2
Platform: | Size: 3072 | Author: 白雪 | Hits:

[VHDL-FPGA-Verilogclk

Description: 在DE2上显示时间的程序,包括年月日时分秒,可以设置开始时间,代码在NiosII IDE环境下编写-DE2 displayed in the time-consuming procedures, including the date when the minutes and seconds, you can set the start time code NiosII IDE environment to prepare
Platform: | Size: 1024 | Author: idaisy | Hits:

[Embeded-SCM Developkey

Description: 在DE2上显示时间的程序,包括年月日时分秒,可以设置开始时间,代码在NiosII IDE环境下编写的,这是完整的工程文件,可以运行的,是我自己做的课程设计。 -DE2 displayed in the time-consuming procedures, including the date when the minutes and seconds, you can set the start time, the code in the preparation of NiosII IDE environment, which is the complete project file, you can run is to do my own curriculum design.
Platform: | Size: 3628032 | Author: 凌晓东 | Hits:

[File FormatFreeDE2

Description: DE2的原理图!!对于想了解它的朋友很大作用!特别是对于刚刚开始画板子的新手更是有很好的借鉴作用
Platform: | Size: 56320 | Author: 王海 | Hits:

[VHDL-FPGA-VerilogDE2_TV

Description: DE2开发板电视接收机源码verilog-DE2 development board TV receivers Verilog source
Platform: | Size: 122880 | Author: 郭林 | Hits:

[VHDL-FPGA-VerilogDE2_LCM_Num

Description: 基於DE2系統的LCM verilog code,在LCM右下方顯示數字,每按一次按鍵數字會加1,顏色也會改變-Based on the DE2 System LCM verilog code, in the lower right corner shows the number of LCM, every time key figures will be one color may also be changed
Platform: | Size: 1092608 | Author: Emuil | Hits:

[Software EngineeringmakebasicNIOS2SOPCsystem

Description: 在FPGA的DE2开发板上进行nios2的uClinux的移植-DE2 in FPGA development board for the nios2 transplant of uClinux
Platform: | Size: 1983488 | Author: 焦扬 | Hits:

[VHDL-FPGA-VerilogDE2_USB_API

Description: Altera de2开发板提供的配套软件程序,用PC机上的应用软件来控制开发板外围器件,功能较全面-Altera de2 development board to provide the matching software program, used PC, application software to control the development board peripheral device, function more comprehensive
Platform: | Size: 9212928 | Author: 陈建 | Hits:

[SCMDE2_UserManual

Description: Altera de2 开发板的使用指南,论述了DE2开发板所有配套实例的使用方法-Altera de2 development board
Platform: | Size: 2759680 | Author: 陈建 | Hits:

[SCMTV_Decoder

Description: DE2开发板外围视频解码芯片ADV7181的数据手册-DE2 development board peripherals ADV7181 video decoder chip data manual
Platform: | Size: 832512 | Author: 陈建 | Hits:

[Database systemDE2_LCD

Description: 本源码是用verilog编写控制LCD——使用Quartusii,开发平台使用的是DE2开发板,可实现1602上任意字符显示-The Verilog source code is used to prepare control LCD- the use of Quartusii, development platform using a DE2 development board can realize arbitrary characters show 1602
Platform: | Size: 522240 | Author: lf | Hits:

[VHDL-FPGA-VerilogDE2_WEB

Description: 用DE2板子实现的音频分析器,需要安装quartus2,硬件需要DE2的板子-DE2 board using the Audio Analyzer realize the need to install quartus2, the hardware needs of the DE2 board
Platform: | Size: 8626176 | Author: 任迎 | Hits:

[VHDL-FPGA-Verilogmid-filter

Description: 用vhdl语言实现的中值滤波,硬件需要DE2板-VHDL language used to achieve the median filter, the hardware need to DE2 board
Platform: | Size: 1270784 | Author: 任迎 | Hits:

[OtherNiosII_CycloneII_R01

Description: de2开发板的电路,为pcb,powerpcb格式,看看高手如何布板-DE2 development board of the circuit, for pcb, powerpcb format, take a look at how the cloth plate master
Platform: | Size: 821248 | Author: 张华 | Hits:

[Windows DevelopDE2_i2sound

Description: 这是一个基于DE2平台的工程,适合于初学者学习DE2开发平台的很好的工程,是用Verilog语言编写的-This is a project based on the DE2 platform, suitable for beginners to learn DE2 development platform works well, is to use Verilog language
Platform: | Size: 29696 | Author: wang | Hits:

[VHDL-FPGA-VerilogDE2_Default

Description: 基于DE2开发板的VGA显示模块,仅供大家参考-DE2 development board based on the VGA display module, for your reference
Platform: | Size: 269312 | Author: lq | Hits:

[Othersd_jieshi

Description: sd 卡 解释 de2 板子解释 学习-sd card DE2 board to explain to explain learning
Platform: | Size: 3072 | Author: yec | Hits:

[VHDL-FPGA-Verilogaltera_up_avalon_ps2

Description: 花了半个月才改好的Atera DE1/DE2 ps2 IP 驱动核。放在FPGA工程目录下可以直接使用。本IP能够驱动PS/2键盘和鼠标。使用时只要调用HAL目录下的文件即可以直接使用!-Spent a good two weeks we have made some changes Atera DE1/DE2 ps2 IP-driven nuclear. On the FPGA project directory can be used directly. The IP to drive PS/2 keyboard and mouse. When used as long as the call HAL directory file that can be used directly!
Platform: | Size: 27648 | Author: 王乔 | Hits:

[VHDL-FPGA-VerilogISP1362

Description: Verilog 编写的ISP1362的控制器IP核,altera公司DE2系统中的源程序-Verilog prepared ISP1362 controller IP core, altera company source DE2 System
Platform: | Size: 18432 | Author: zhyy | Hits:

[VHDL-FPGA-VerilogCursor

Description: ALTERA的DE2平台VGA接口应用,由KEY0-KEY3控制上下左右,使屏幕上光标移动,由Verilog描述。-ALTERA the DE2 platform VGA interface applications, from top to bottom KEY0-KEY3 about control, so that the screen cursor by the Verilog description.
Platform: | Size: 779264 | Author: 徐朝凯 | Hits:
« 1 2 3 4 56 7 8 9 10 ... 50 »

CodeBus www.codebus.net