Welcome![Sign In][Sign Up]
Location:
Search - CPU

Search list

[VHDL-FPGA-Verilogcpu

Description: Verilog实现的CPU程序,简单应用哈-Verilog realization of CPU process, simple application of Kazakhstan
Platform: | Size: 3072 | Author: liu | Hits:

[Software EngineeringCPU

Description: 主要讲解CPU工作原理,极其内部结构。可以算精华内容。-Explain the working principle of the main CPU, the internal structure of an extremely. Can be considered the essence of the content.
Platform: | Size: 10240 | Author: 张红军 | Hits:

[OtherCPU

Description: 读取CPU序列号,VC写的,一个读取CPU序列号的程序,大家可以利用它来为自己的软件提供保护机制。-Read the CPU serial number, VC wrote a CPU to read the serial number of the procedures, we can use it to provide software for their own protection mechanisms.
Platform: | Size: 1024 | Author: 南西宁 | Hits:

[Windows Developcpu

Description: 一个可以控制CPU占用率的程序,可是设置CPU占用百分比,或者CPU占用曲线呈正眩区线,是微软面试的一道题目,网上有很多讨论,但是大多数的方法都很复杂,我用了一个很简单的方法就实现了。-One can control the CPU occupancy rate of the procedure, but set the percentage of CPU occupancy, or CPU occupancy curve was positively dizzy District Line, is to join Microsoft s interview subject, there are many discussions online, but most methods are very complicated, I used a very The simplest way to realize the.
Platform: | Size: 43008 | Author: bill | Hits:

[Othercpu

Description: 初学cpu设计(完全教程)包括verilog代码以及文档说明那个-Beginner cpu design (complete tutorial) includes a Verilog code as well as the document explains that
Platform: | Size: 366592 | Author: hjx | Hits:

[SCMcpu

Description: cpu卡和存储卡读写器程序 -cpu card and memory card reader program
Platform: | Size: 5120 | Author: | Hits:

[Othercpu

Description: 用于获得CPU的相关信息,功能还是比较 强的。-CPU used to obtain relevant information, functionality is still relatively strong.
Platform: | Size: 1728512 | Author: 李力 | Hits:

[VHDL-FPGA-VerilogCPU

Description: 用VHDL编的简易CPU,可完成加减乘法移位等功能-Using VHDL made easy CPU, to be completed by addition and subtraction multiplication shift functions
Platform: | Size: 1703936 | Author: 刘超 | Hits:

[Windows Developcpu

Description: 动 态 获 取 计 算 机 的 CPU 使 用率-Dynamic access to the computer s CPU usage
Platform: | Size: 2048 | Author: a | Hits:

[VHDL-FPGA-VerilogCPU

Description: 简单的16位CPU的VHDL设计 vhdl代码和cpu设计过程-Simple 16-bit CPU design of the VHDL code and VHDL design process cpu
Platform: | Size: 1488896 | Author: kilva | Hits:

[VHDL-FPGA-Verilogcpu

Description: 实现了CPU的基本功能,含加减乘除等运算的实现,VHDL版-Realize the basic functions of the CPU, including calculation such as the realization of computing, VHDL version
Platform: | Size: 3719168 | Author: Kakaxiseu | Hits:

[VHDL-FPGA-VerilogCPU

Description: 使用verilog作为CPU设计语言实现单数据通路五级流水线的CPU。具有32个通用寄存器、一个程序计数器PC、一个标志寄存器FLAG,一个堆栈寄存器STACK。存储器寻址粒度为字节。数据存储以32位字对准。采用32位定长指令格式,采用Load/Store结构,ALU指令采用三地址格式。支持有符号和无符号整数加、减、乘、除运算,并支持浮点数加、减、乘、除四种运算,支持与、或、异或、非4种逻辑运算,支持逻辑左移、逻辑右移、算术右移、循环右移4种移位运算,支持Load/Store操作,支持地址/立即数加载操作,支持无条件转移和为0转移、非0转移、无符号>转移、无符号<转移、有符号>转移、有符号<转移等条件转移。
Platform: | Size: 43008 | Author: haotianr | Hits:

[Windows DevelopCPU

Description: 提供了几种不同的cpu调度算法,包括FCFS,RR,JSP,优先级调度等算法。-Provided several different types of cpu scheduling algorithm, including FCFS, RR, JSP, such as priority scheduling algorithm.
Platform: | Size: 41984 | Author: liove | Hits:

[VHDL-FPGA-Verilog8-cpu

Description: 8位CPU的VHDL设计,16条指令系统,以及部分测试代码,开发工具是quartusii_60_pc-8-bit CPU of the VHDL design, 16 instruction, as well as some of the test code, development tools is quartusii_60_pc
Platform: | Size: 3072 | Author: FJ | Hits:

[VHDL-FPGA-VerilogCPU

Description: 以前在学校里的课程设计,使用verilog编写的一个CPU程序,可以下板子-Ago in the school curriculum design, the use of Verilog CPU prepare a procedure under the board
Platform: | Size: 6144 | Author: 熊浩 | Hits:

[Delphi VCLCPU

Description: 获取CPU的ID号,适用于软件加密,比如生成一段随机码,然后判断CPU的ID号,如果CPU的ID号不相同就可以使用本软件。因为CPU的序列号是唯一的-CPU access to the ID number, apply to software encryption, such as a section of randomly generated code, then determine the CPU s ID No., if the CPU is not the same as the ID number you can use this software. Because the serial number of CPU is the only
Platform: | Size: 6144 | Author: hebo | Hits:

[Delphi VCLCPU-Bios-HDSN

Description: 取电脑CPU序列号、网卡MAC地址、主板BIOS、操作系统序列号的Delphi控件-Check the computer CPU serial number, MAC address of network card, motherboard BIOS, operating system, serial number of the Delphi Controls
Platform: | Size: 20480 | Author: pangweiwen | Hits:

[OS programCPU

Description: VB中如何来获得CPU占用率-VB How to get CPU occupancy rate
Platform: | Size: 7168 | Author: gavin | Hits:

[VHDL-FPGA-Verilogcpu

Description: 关于FPGA的CPU的设计,可以看一下,大家讨论学习一下啊-The CPU on the FPGA design, you can see, we discussed learning about ah
Platform: | Size: 3072 | Author: 王飞 | Hits:

[Othercpu

Description: 计算机组成原理假期课程设计“一个简单的CPU设计”,有全部的设计思路,能够实现四条简单指令-Principles of Computer Organization holidays curriculum design
Platform: | Size: 723968 | Author: 张小虎 | Hits:
« 1 2 3 45 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net