Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Communication-Mobile
Title: 4_pll_test Download
 Description: Implementation of PLL based on Artix7
 Downloaders recently: [More information of uploader kang30]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
4_pll_test 0 2018-03-24
4_pll_test\pll_test 0 2018-03-24
4_pll_test\pll_test\.Xil 0 2018-03-24
4_pll_test\pll_test\constrs_1 0 2018-03-24
4_pll_test\pll_test\constrs_1\new 0 2018-03-24
4_pll_test\pll_test\constrs_1\new\pll.xdc 579 2017-09-07
4_pll_test\pll_test\ip_upgrade.log 10790 2017-09-07
4_pll_test\pll_test\pll_test.cache 0 2018-03-24
4_pll_test\pll_test\pll_test.cache\compile_simlib 0 2018-03-24
4_pll_test\pll_test\pll_test.cache\compile_simlib\activehdl 0 2018-03-24
4_pll_test\pll_test\pll_test.cache\compile_simlib\ies 0 2018-03-24
4_pll_test\pll_test\pll_test.cache\compile_simlib\modelsim 0 2018-03-24
4_pll_test\pll_test\pll_test.cache\compile_simlib\questa 0 2018-03-24
4_pll_test\pll_test\pll_test.cache\compile_simlib\riviera 0 2018-03-24
4_pll_test\pll_test\pll_test.cache\compile_simlib\vcs 0 2018-03-24
4_pll_test\pll_test\pll_test.cache\wt 0 2018-03-24
4_pll_test\pll_test\pll_test.cache\wt\gui_resources.wdf 1883 2017-09-07
4_pll_test\pll_test\pll_test.cache\wt\java_command_handlers.wdf 872 2017-09-07
4_pll_test\pll_test\pll_test.cache\wt\project.wpc 122 2017-09-07
4_pll_test\pll_test\pll_test.cache\wt\synthesis.wdf 5392 2017-09-07
4_pll_test\pll_test\pll_test.cache\wt\synthesis_details.wdf 100 2017-09-07
4_pll_test\pll_test\pll_test.cache\wt\webtalk_pa.xml 2970 2017-09-07
4_pll_test\pll_test\pll_test.hw 0 2018-03-24
4_pll_test\pll_test\pll_test.hw\hw_1 0 2018-03-24
4_pll_test\pll_test\pll_test.hw\hw_1\hw.xml 685 2017-02-20
4_pll_test\pll_test\pll_test.hw\hw_1\wave 0 2018-03-24
4_pll_test\pll_test\pll_test.hw\pll_test.lpr 343 2016-08-03
4_pll_test\pll_test\pll_test.hw\webtalk 0 2018-03-24
4_pll_test\pll_test\pll_test.hw\webtalk\.xsim_webtallk.info 59 2017-08-09
4_pll_test\pll_test\pll_test.hw\webtalk\labtool_webtalk.log 392 2017-08-09
4_pll_test\pll_test\pll_test.hw\webtalk\usage_statistics_ext_labtool.html 3406 2017-08-09
4_pll_test\pll_test\pll_test.hw\webtalk\usage_statistics_ext_labtool.xml 2966 2017-08-09
4_pll_test\pll_test\pll_test.ip_user_files 0 2018-03-24
4_pll_test\pll_test\pll_test.ip_user_files\README.txt 130 2016-08-03
4_pll_test\pll_test\pll_test.ip_user_files\ip 0 2018-03-24
4_pll_test\pll_test\pll_test.ip_user_files\ip\clk_wiz_0 0 2018-03-24
4_pll_test\pll_test\pll_test.ip_user_files\ip\clk_wiz_0\clk_wiz_0.veo 3988 2017-09-07
4_pll_test\pll_test\pll_test.ip_user_files\ip\clk_wiz_0\clk_wiz_0_stub.v 1361 2017-09-07
4_pll_test\pll_test\pll_test.ip_user_files\ip\clk_wiz_0\clk_wiz_0_stub.vhdl 1332 2017-09-07
4_pll_test\pll_test\pll_test.runs 0 2018-03-24
4_pll_test\pll_test\pll_test.runs\.jobs 0 2018-03-24
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_1.xml 248 2016-08-03
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_10.xml 447 2017-02-20
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_11.xml 677 2017-09-07
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_12.xml 240 2017-09-07
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_2.xml 440 2016-08-03
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_3.xml 440 2016-08-03
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_4.xml 249 2016-08-03
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_5.xml 444 2017-02-20
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_6.xml 244 2017-02-20
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_7.xml 444 2017-02-20
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_8.xml 251 2017-02-20
4_pll_test\pll_test\pll_test.runs\.jobs\vrs_config_9.xml 244 2017-02-20
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1 0 2018-03-24
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\.Vivado_Synthesis.queue.rst 0 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\.Xil 0 2018-03-24
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\.Xil\clk_wiz_0_propImpl.xdc 414 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\.vivado.begin.rst 186 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\.vivado.end.rst 0 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\ISEWrap.js 7308 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\ISEWrap.sh 1623 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\clk_wiz_0.dcp 9267 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\clk_wiz_0.tcl 4955 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\clk_wiz_0.vds 4883 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\clk_wiz_0_utilization_synth.pb 276 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\clk_wiz_0_utilization_synth.rpt 7628 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\dont_touch.xdc 2185 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\gen_run.xml 1818 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\htr.txt 395 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\rundef.js 1325 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\runme.bat 268 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\runme.log 4561 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\runme.sh 1208 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\vivado.jou 748 2017-09-07
4_pll_test\pll_test\pll_test.runs\clk_wiz_0_synth_1\vivado.pb 6924 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1 0 2018-03-24
4_pll_test\pll_test\pll_test.runs\impl_1\.Vivado_Implementation.queue.rst 0 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.Xil 0 2018-03-24
4_pll_test\pll_test\pll_test.runs\impl_1\.init_design.begin.rst 187 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.init_design.end.rst 0 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.opt_design.begin.rst 187 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.opt_design.end.rst 0 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.place_design.begin.rst 187 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.place_design.end.rst 0 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.route_design.begin.rst 187 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.route_design.end.rst 0 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.vivado.begin.rst 372 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.vivado.end.rst 0 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.write_bitstream.begin.rst 186 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\.write_bitstream.end.rst 0 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\ISEWrap.js 7308 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\ISEWrap.sh 1623 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\gen_run.xml 5578 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\htr.txt 401 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\init_design.pb 4136 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\opt_design.pb 6866 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\place_design.pb 7827 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\pll_test.bit 3825893 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\pll_test.tcl 1828 2017-09-07
4_pll_test\pll_test\pll_test.runs\impl_1\pll_test.vdi 24938 2017-09-07

CodeBus www.codebus.net