Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Windows Develop Other
Title: fft512 Download
 Description: FFT engineering based on Verilog IP kernel and 512 bit FFT operation,
 Downloaders recently: [More information of uploader 王坡 ]
 To Search:
File list (Check if you may need any files):
fft512\512点fft_ifft测试.png
fft512\512点fft_ifft测试,将ifft推迟一个时钟周期后第一帧数据恢复正常,基本说明无法恢复原波形的原因是第一位多了一个数据.png
fft512\add.qip
fft512\cnt512.v
fft512\cnt512.v.bak
fft512\db\.cmp.kpt
fft512\db\add_sub_14k.tdf
fft512\db\add_sub_knj.tdf
fft512\db\add_sub_onj.tdf
fft512\db\altsquare_57e.tdf
fft512\db\altsquare_8ee.tdf
fft512\db\altsyncram_07a1.tdf
fft512\db\altsyncram_1s81.tdf
fft512\db\altsyncram_2s81.tdf
fft512\db\altsyncram_5s81.tdf
fft512\db\altsyncram_61a1.tdf
fft512\db\altsyncram_6s81.tdf
fft512\db\altsyncram_71a1.tdf
fft512\db\altsyncram_ch91.tdf
fft512\db\altsyncram_dh91.tdf
fft512\db\altsyncram_eh91.tdf
fft512\db\altsyncram_hh91.tdf
fft512\db\altsyncram_hsb1.tdf
fft512\db\altsyncram_ih91.tdf
fft512\db\altsyncram_isb1.tdf
fft512\db\altsyncram_jh91.tdf
fft512\db\altsyncram_lgd1.tdf
fft512\db\altsyncram_lha1.tdf
fft512\db\altsyncram_mgd1.tdf
fft512\db\altsyncram_qnu3.tdf
fft512\db\altsyncram_ssf1.tdf
fft512\db\altsyncram_v6a1.tdf
fft512\db\a_dpfifo_no81.tdf
fft512\db\cmpr_gs8.tdf
fft512\db\cntr_ao7.tdf
fft512\db\cntr_tnb.tdf
fft512\db\cntr_unb.tdf
fft512\db\ded_mult_n691.tdf
fft512\db\dffpipe_93c.tdf
fft512\db\fft512.ace_cmp.bpm
fft512\db\fft512.ace_cmp.cdb
fft512\db\fft512.ace_cmp.hdb
fft512\db\fft512.asm.qmsg
fft512\db\fft512.asm.rdb
fft512\db\fft512.asm_labs.ddb
fft512\db\fft512.cbx.xml
fft512\db\fft512.cmp.bpm
fft512\db\fft512.cmp.cdb
fft512\db\fft512.cmp.hdb
fft512\db\fft512.cmp.idb
fft512\db\fft512.cmp.logdb
fft512\db\fft512.cmp.rdb
fft512\db\fft512.cmp_merge.kpt
fft512\db\fft512.cycloneive_io_sim_cache.31um_ff_1200mv_0c_fast.hsd
fft512\db\fft512.cycloneive_io_sim_cache.31um_ss_1200mv_0c_slow.hsd
fft512\db\fft512.cycloneive_io_sim_cache.31um_ss_1200mv_85c_slow.hsd
fft512\db\fft512.db_info
fft512\db\fft512.eco.cdb
fft512\db\fft512.eda.qmsg
fft512\db\fft512.fit.qmsg
fft512\db\fft512.hier_info
fft512\db\fft512.hif
fft512\db\fft512.ipinfo
fft512\db\fft512.lpc.html
fft512\db\fft512.lpc.rdb
fft512\db\fft512.lpc.txt
fft512\db\fft512.map.ammdb
fft512\db\fft512.map.bpm
fft512\db\fft512.map.cdb
fft512\db\fft512.map.hdb
fft512\db\fft512.map.kpt
fft512\db\fft512.map.logdb
fft512\db\fft512.map.qmsg
fft512\db\fft512.map.rdb
fft512\db\fft512.map_bb.cdb
fft512\db\fft512.map_bb.hdb
fft512\db\fft512.map_bb.logdb
fft512\db\fft512.npp.qmsg
fft512\db\fft512.pre_map.hdb
fft512\db\fft512.pti_db_list.ddb
fft512\db\fft512.qns
fft512\db\fft512.root_partition.map.reg_db.cdb
fft512\db\fft512.routing.rdb
fft512\db\fft512.rtlv.hdb
fft512\db\fft512.rtlv_sg.cdb
fft512\db\fft512.rtlv_sg_swap.cdb
fft512\db\fft512.sgate.nvd
fft512\db\fft512.sgate_sm.nvd
fft512\db\fft512.sgdiff.cdb
fft512\db\fft512.sgdiff.hdb
fft512\db\fft512.sld_design_entry.sci
fft512\db\fft512.sld_design_entry_dsc.sci
fft512\db\fft512.smart_action.txt
fft512\db\fft512.smp_dump.txt
fft512\db\fft512.sta.qmsg
fft512\db\fft512.sta.rdb
fft512\db\fft512.sta_cmp.8_slow_1200mv_85c.tdb
fft512\db\fft512.tiscmp.fastest_slow_1200mv_0c.ddb
fft512\db\fft512.tiscmp.fastest_slow_1200mv_85c.ddb
fft512\db\fft512.tiscmp.fast_1200mv_0c.ddb

CodeBus www.codebus.net