Welcome![Sign In][Sign Up]
Location:
Downloads Other resource
Title: D触发器的设计 Download
 Description: D flip-flop with the main design of the timing circuit. The language used for Verilog HDL.
 Downloaders recently: [More information of uploader lpg22]
 To Search:
File list (Check if you may need any files):

CodeBus www.codebus.net