Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop SCM
Title: DDRcontrol Download
  • Category:
  • SCM
  • Tags:
  • [PDF]
  • File Size:
  • 464kb
  • Update:
  • 2012-11-26
  • Downloads:
  • 0 Times
  • Uploaded by:
  • wsqylly
 Description: DDR controller design for reference, including documentation in Chinese
 Downloaders recently: [More information of uploader wsqylly]
 To Search: ddr
  • [rtl] - DDR controller has passed FPGA to verify
  • [DDRctroll] - ddr controller fpga to achieve the corre
  • [DDR_SDRAM] - DDR- SDRAM learning materials, DDR- SDRA
  • [tips_vhdl] - Includes image acquisition, i2c design a
  • [ddr_sdr_V1_1] - DR SDRAM Controller Core - has been desi
  • [sdram] - Procedure Note: In this experiment, cont
  • [DDRdesigen.pdf] - DDR SDRAM design and debug Experience. P
  • [Magnetic] - Inhibition of signal lines dedicated to
File list (Check if you may need any files):
DDR SDRAM\DDR SDRAM\DDR SDRAM\mem_interface_top.txt
.........\.........\.........\mem_interface_top_addr_gen_0.txt
.........\.........\.........\mem_interface_top_backend_fifos_0.txt
.........\.........\.........\mem_interface_top_backend_rom_0.txt
.........\.........\.........\mem_interface_top_cmp_rd_data_0.txt
.........\.........\.........\mem_interface_top_controller_iobs_0.txt
.........\.........\.........\mem_interface_top_data_gen_16.txt
.........\.........\.........\mem_interface_top_data_path_0.txt
.........\.........\.........\mem_interface_top_data_path_iobs_0.txt
.........\.........\.........\mem_interface_top_data_tap_inc.txt
.........\.........\.........\mem_interface_top_data_write_0.txt
.........\.........\.........\mem_interface_top_ddr_controller_0.txt
.........\.........\.........\mem_interface_top_idelay_ctrl.txt
.........\.........\.........\mem_interface_top_infrastructure.txt
.........\.........\.........\mem_interface_top_infrastructure_iobs_0.txt
.........\.........\.........\mem_interface_top_iobs_0.txt
.........\.........\.........\mem_interface_top_main_0.txt
.........\.........\.........\mem_interface_top_parameters_0.txt
.........\.........\.........\mem_interface_top_pattern_compare8.txt
.........\.........\.........\mem_interface_top_RAM_D_0.txt
.........\.........\.........\mem_interface_top_rd_data_0.txt
.........\.........\.........\mem_interface_top_rd_data_fifo_0.txt
.........\.........\.........\mem_interface_top_rd_wr_addr_fifo_0.txt
.........\.........\.........\mem_interface_top_tap_ctrl_0.txt
.........\.........\.........\mem_interface_top_tap_logic_0.txt
.........\.........\.........\mem_interface_top_test_bench_0.txt
.........\.........\.........\mem_interface_top_top_0.txt
.........\.........\.........\mem_interface_top_user_interface_0.txt
.........\.........\.........\mem_interface_top_v4_dm_iob.txt
.........\.........\.........\mem_interface_top_v4_dqs_iob.txt
.........\.........\.........\mem_interface_top_v4_dq_iob.txt
.........\.........\.........\mem_interface_top_wr_data_fifo_16.txt
.........\.........\.........\使用 Virtex-4 FPGA 器件实现DDR SDRAM控制器.pdf
.........\.........\DDR SDRAM
.........\DDR SDRAM
DDR SDRAM
    

CodeBus www.codebus.net