Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Windows Develop GUI Develop Other
Title: led Download
 Description: In this experiment completed the cycle of light-emitting diode light experiments, as a result of the importation of crystal for the 20M, sub-frequency signal received count1, so each interval of about 1S Lantern a cyclic shift. Can also be the external 32768Hz crystal oscillator frequency by 4060 after 1HZclk input, they can try to change the experiment, to practice. Phenomenon: we can see water lights
 Downloaders recently: [More information of uploader 1986liupeinan]
 To Search: VHDL led led vhdl VHDL led
  • [DigitalExperimentreport.Rar] - curriculum design and FPGA design to ach
  • [vhdldata] - very good examples of VHDL learning doze
  • [quartusII] - Huawei's quartus tutorial. The quartus t
  • [led] - EDA design with Chinese characters scrol
  • [51light] - 51 Singlechip entry and matching of the
  • [sudu] - Enter the complete mathematical expressi
  • [switch] - Four DIP switches, dial up the correspon
  • [8-led-VHDL] - 8 water lamps program design, which is a
  • [PWM-OUT] - Here is a better written in Verilog by u
  • [lightflu] - Written with a flowing light Verilog pro
File list (Check if you may need any files):

CodeBus www.codebus.net