Welcome![Sign In][Sign Up]
Location:
Search - vhdl code of modulation

Search list

[VHDL-FPGA-VerilogMPSK调制与解调VHDL程序与仿真

Description: MPSK调制与解调VHDL程序与仿真,具有很高的参考价值!!vhdl代码!-MPSK modulation and demodulation process and VHDL simulation, high reference value! ! VHDL code!
Platform: | Size: 79872 | Author: 温暖感 | Hits:

[source in ebooksome-usful-vhdl-source-code

Description: 一些实用的VHDL源码,有各种信号调制的,还有LCD控制的,出租车计价器等等源码。-some practical VHDL source code, a variety of signal modulation, there is the LCD control. taximeters, etc. source.
Platform: | Size: 1278976 | Author: 雨风 | Hits:

[File FormatFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1278976 | Author: | Hits:

[Post-TeleCom sofeware systemsmfsk

Description: vhdl mfsk 多进制数字频率调制(MFSK)也称多元调频或多频制。MFSK系统是 2FSK(二频键控)系统的推广,该系统有 M个 不同的载波频率可供选择.每一个载波频率对应一个 M进制码 元信息,即用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时间内只发送其中一个频率。-vhdl mfsk M-ary digital frequency modulation (MFSK), also known as multi-frequency or multi-frequency system. MFSK system is 2FSK (b Frequency Shift Keying) system, the promotion, the system has M different carrier frequencies to choose from. Each carrier frequency corresponds to an M-band meta-information code, which uses a number of different sine wave frequency, respectively, representing different digital signal, in a symbol time to send only one frequency.
Platform: | Size: 1024 | Author: mzizai | Hits:

[Communication-MobileDDS

Description: DDS的VHDL源代码,是数字QPSK调制解调中的重要组成部分。-DDS of the VHDL source code, the number of QPSK modulation and demodulation is an important part.
Platform: | Size: 3072 | Author: | Hits:

[MiddleWareM_generate

Description: m序列产生编码,vhdl硬件实现用于实现调制解调-m sequence code generated, vhdl hardware implementation for the realization of modulation and demodulation
Platform: | Size: 247808 | Author: xiaohuaifeng | Hits:

[VHDL-FPGA-VerilogFSKPSK

Description: FSK和PSK调制与解调的VHDL源程序.是在QUARTUS2环境下开发的. -FSK and PSK modulation and demodulation of the VHDL source code. QUARTUS2 environment in development.
Platform: | Size: 302080 | Author: 6822 | Hits:

[OtherQAM

Description: 实现QAM调制功能和QAM解调功能的代码.-Realize QAM modulation function and QAM demodulation function code.
Platform: | Size: 569344 | Author: fangyingjie | Hits:

[CommunicationBaseband_line_code

Description: 本课程设计完成了基带线路码产生电路的设计,数字基带信号的传输是数字通信系统的重要组成部分之一。在数字通信中,有些场合可不经过载波调制和解调过程,而对基带信号进行直接传输。为使基带信号能适合在基带信道中传输,通常要经过基带信号变换,这种变换过程事实上就是编码过程。本些课题实现了这样的编码过程。-This course is designed to use VHDL hardware description language completed the base-band circuits have a code of the circuit design. Digital base-band signal transmission of digital communications systems is an important part of one.In the signal before transmission to go through the code to deal with. In digital communications, there are some occasions, not through the process of modulation and demodulation, and base-band signal for direct transmission. In order to be able to base-band signal for the base-band transmission channels, usually after a base-band signal transformation, the transformation process is in fact the process of encoding.
Platform: | Size: 683008 | Author: li | Hits:

[VHDL-FPGA-VerilogFPGA_AM

Description: 基于cyclone系列FPGA的模拟幅度调制的VHDL代码-Cyclone series FPGA-based simulation of VHDL code amplitude modulation
Platform: | Size: 642048 | Author: 李承运 | Hits:

[Communication-MobileFSK_modulation_and_demodulation

Description: 模拟数字通信通道,将离散数据利用奇偶效验码编码,FSK调制后,发送,接收端解调解码后还原-Analog-to-digital communication channel, the use of discrete data to be well-tested code parity coding, FSK modulation, the transmission, the receiving end to restore the decoded demodulation
Platform: | Size: 252928 | Author: Andy Hu | Hits:

[Communication-Mobileask

Description: 通信系统数字信号调制,振幅监控ask信号的调制和解调的VHDL代码-Communication systems digital signal modulation, amplitude control ask signal modulation and demodulation of the VHDL code for
Platform: | Size: 1024 | Author: lihao | Hits:

[VHDL-FPGA-Verilogask_fsk

Description: 数字通信系统振幅键控ASK信号和频移键控FSK的调制与解调的VHDL代码-ASK amplitude shift keying digital communication system signal and the frequency shift keying modulation and demodulation of the VHDL code for
Platform: | Size: 2048 | Author: lihao | Hits:

[VHDL-FPGA-Verilogcpsk

Description: 用VHDL硬件语言对BPSK调制解调系统进行编写,仿真通过,源代码-VHDL hardware language using BPSK modulation and demodulation system, the preparation, simulation adopted, the source code
Platform: | Size: 68608 | Author: 王岩嵩 | Hits:

[ELanguageQPSK_modulator_demodulator

Description: Wireless_Communication_FPGA设计代码之一:QPSK调制解调的FPGA实现 将相应的源文件复制到本地硬盘上,修改属性为可写,然后在ISE环境中新建工程,然后添加相应的源文件即可。-Wireless_Communication_FPGA one of the design code: QPSK modulation and demodulation of the FPGA to achieve the corresponding source files to local hard disk, modify the property is writable, then in the ISE environment, new construction, and then add the appropriate source files.
Platform: | Size: 1024 | Author: 松松 | Hits:

[VHDL-FPGA-VerilogADPCMCodec

Description: The DVI Adaptive Differential Pulse Code Modulation (ADPCM) algorithm was first described in an IMA recommendation on audio formats and conversion practices [1]. ADPCM is a transformation that encodes 16-bit audio as 4 bits (a 4:1 compression ratio). In order to achieve this level of compression, the algorithm maintains an adaptive value predictor, which uses the distance between previous samples to store the most likely value of the next sample. The difference between samples is quantized down to a new sample using an adaptive step-size. The algorithm in [1] suggests using a table to adapt this step-size to the analyzed data. ADPCM has become widely used and adapted, and a variant of the algorithm performs voice encoding on cellular phones (allowing minimal data to be sent across the wireless network and increasing throughput).
Platform: | Size: 439296 | Author: stefanescul | Hits:

[VHDL-FPGA-VerilogQPSK

Description: qpsk调制解调的VHDL源代码,已调试成功,可放心使用。-qpsk modulation and demodulation of the VHDL source code ,which has been debugged and can be freely used.
Platform: | Size: 1024 | Author: simulin_2008 | Hits:

[VHDL-FPGA-Verilogvhdlcoder

Description: 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可控脉冲发生器pluse 十一、正负脉宽数控调制信号发生器pluse width 十二、序列检测器string 十三、出租车计费器spend 十四、数字秒表selclk 十五、抢答器 first -This folder contains 16 examples of VHDL programming, only for readers to learn programming reference. 1, 4 Preset 75MHz-BCD code (plus/minus) count display (ADD-SUB). Second, light cycle display (LED-CIRCLE) 3, seven voting machines vote7 4, Gray code converter graytobin 5, a BCD code adder bcdadder six, four full adder adder4 seven or eight English letter display circuit alpher , 74LS160 counter 74ls160 9, variable-step addition and subtraction counters multicount 10, controllable pulse generator pluse 11, positive and negative pulse width modulation signal generator pluse width of NC 12, sequence detector string 13, a taxi billing spend 14 devices, digital stopwatch selclk 15, Responder first
Platform: | Size: 59392 | Author: 李磊 | Hits:

[VHDL-FPGA-Verilogspwm

Description: 关于SPWM调制设计VHDL代码 关于SPWM调制设计VHDL代码-SPWM modulation on the design of VHDL code design on the VHDL code modulation SPWM
Platform: | Size: 1024 | Author: | Hits:

[Voice CompressVHDL

Description: 通信领域里的产生随机PN序列,QPSK调制解调的VHDL代码,适合通信领域的人士使用-Communication in the field of random PN sequence, QPSK modulation and demodulation of the VHDL code, those suitable for use in the field of communications
Platform: | Size: 2048 | Author: 岳雨豪 | Hits:
« 12 3 »

CodeBus www.codebus.net