Welcome![Sign In][Sign Up]
Location:
Search - sampling in vhdl

Search list

[Internet-Networksubr

Description: VHDL 8位无符号除法器 试验报告 计算前在A和B端口输入被除数和除数,然后在Load线上送高电平,把数据存到除法计算电路内部,然后经过若干个时钟周期,计算出商和余数,并在C和D端输出。 其实现方法是,将除法器分为两个状态:等待状态与运算状态。 开始时除法器处于等待状态,在该状态,在每一时钟上升沿,采样Load信号线,若是低电平,则仍处于等待状态,如果采样到高电平,除法器读取A,B数据线上的输入数据,保存到内部寄存器a_r,b_r,置c_r为0,d_r为a_r,判断除数是否为零,若不为零则进入运算状态。 -VHDL eight unsigned divider calculation of the test report before the A and B ports to import and dividend divider, and then sent to I Load line, the data are uploaded to the internal division calculation circuit, and then after a number of clock cycles, and worked out more than a few, and in the C-and D output. Their method is to be divided into two division for the state : waiting for the state and Operational state. At the beginning divider waiting for the state, in the state in each clock rising edge, sampling Load signal line, if low-level, it is still waiting for the state, if the sampling to allow high output, Divider read A, B online data input data, preservation of the internal registers renovation r, b_r, home c_r 0, d_r a_r to determine whether the divisor zero, if not zero, it
Platform: | Size: 83109 | Author: aa | Hits:

[Other resourcesampleVHDL

Description: 采样等精度测量的VHDL程序..在xilinx ISE 8.1上验证通过-sampling and other precision measurement of VHDL program. . In xilinx ISE tested through 8.1.
Platform: | Size: 123595 | Author: 罗辉 | Hits:

[ISAPI-IEsubr

Description: VHDL 8位无符号除法器 试验报告 计算前在A和B端口输入被除数和除数,然后在Load线上送高电平,把数据存到除法计算电路内部,然后经过若干个时钟周期,计算出商和余数,并在C和D端输出。 其实现方法是,将除法器分为两个状态:等待状态与运算状态。 开始时除法器处于等待状态,在该状态,在每一时钟上升沿,采样Load信号线,若是低电平,则仍处于等待状态,如果采样到高电平,除法器读取A,B数据线上的输入数据,保存到内部寄存器a_r,b_r,置c_r为0,d_r为a_r,判断除数是否为零,若不为零则进入运算状态。 -VHDL eight unsigned divider calculation of the test report before the A and B ports to import and dividend divider, and then sent to I Load line, the data are uploaded to the internal division calculation circuit, and then after a number of clock cycles, and worked out more than a few, and in the C-and D output. Their method is to be divided into two division for the state : waiting for the state and Operational state. At the beginning divider waiting for the state, in the state in each clock rising edge, sampling Load signal line, if low-level, it is still waiting for the state, if the sampling to allow high output, Divider read A, B online data input data, preservation of the internal registers renovation r, b_r, home c_r 0, d_r a_r to determine whether the divisor zero, if not zero, it
Platform: | Size: 82944 | Author: aa | Hits:

[VHDL-FPGA-Verilog8bitsine

Description: 8bit采样sine波形发生器,一共两个文件,各自用VHDL和VERILOG编写,通信开发平台专用-8bit sampling sine wave generator, a total of two papers, each with VHDL and VERILOG preparation, communications development platform dedicated
Platform: | Size: 5120 | Author: 王刚 | Hits:

[VHDL-FPGA-VerilogsampleVHDL

Description: 采样等精度测量的VHDL程序..在xilinx ISE 8.1上验证通过-sampling and other precision measurement of VHDL program. . In xilinx ISE tested through 8.1.
Platform: | Size: 122880 | Author: 罗辉 | Hits:

[Communication-MobileMean_64

Description: 原创代码,采用VHDL实现的64点均值滤波。实验测试过,效果良好。可轻松修改成任意点数均值滤波。采用了多点滑动运算,减小了输出延时,最大为3个时钟延迟。可用于AD采样后的滤波处理。-Original code, the use of VHDL to achieve the 64 point mean filter. Experiment tested the results were very good. Can be easily modified into arbitrary point mean filter. Use of multi-point sliding computation, reduces the output delay, a maximum of three clock delay. AD sampling can be used to deal with post-filtering.
Platform: | Size: 2048 | Author: M | Hits:

[VHDL-FPGA-VerilogTLC549

Description: verilog TLC549AD采样程序 ,速度200K,在LED和数码管上显-verilog TLC549AD sampling procedures, the speed of 200K, in the LED and digital tube significantly
Platform: | Size: 1024 | Author: 张建中 | Hits:

[VHDL-FPGA-Verilogsin

Description: 用Verilog语言在FPGA内实现一256个采样点的正弦波,已尝试,挺好用的-Verilog language used in the FPGA to achieve one of the 256 sampling points sine wave, I have already tried it, very useful~ ~ ~
Platform: | Size: 104448 | Author: | Hits:

[VHDL-FPGA-VerilogCIC_deci4

Description: cic抽取滤波器ip核,用于射频采样数字下变频模块的核心数字信号处理部分.此ip核已经过ise10.2验证-CIC decimation by 4 filter,used in Direct RF sampling of GPS signal. the core dsp block in a frondend design
Platform: | Size: 31744 | Author: mimidabuda | Hits:

[CommunicationAnFPGASoftwareDefinedUltraWidebandTransceiver

Description: Increasing interest in ultra-wideband (UWB) communications has engendered the need for a test bed for UWB systems. An FPGA-based software-defined radio provides both postfabrication definition of the radio and ample parallel processing power. This thesis presents the FPGA design for a software-defined radio targeted to impulse ultra-wideband signals. The system is capable of an effective sampling frequency of up to 8 G-samples/s using timeinterleaved sampling with eight 1-GHz ADCs. The system is also capable of transmitting UWB pulses using a transmitter board controlled by the FPGA. In this thesis, the FPGA design used to capture and export data from the eight ADCs is presented, along with two systems which make use of the transceiver: a pilot-based matched filter communications system, and a remote vital signs monitor.
Platform: | Size: 1396736 | Author: chaiwat | Hits:

[VHDL-FPGA-Verilogcaiyang

Description: 种用FPGA 实现对高速A/ D 转换芯片的控制电路,系统以MAX125 为例,详细介绍了含有FIFO 存储器的A/ D 采样控制电路的设计方法,并给出了A/D 采样控制电路的V HDL 源程序和整个采样存储的顶层电路原理图.-Species with FPGA to achieve high-speed A/D conversion chip control circuit, the system as an example to MAX125 details FIFO memory contains A/D sampling control circuit design method, and gives the A/D sampling control circuit of the V HDL source code and the sample stored in the top-level circuit schematic.
Platform: | Size: 338944 | Author: 于银 | Hits:

[VHDL-FPGA-Verilogwavegenerator

Description: 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verify is passed, the waveform in detail the distribution of maps and map pins.
Platform: | Size: 498688 | Author: 李海明 | Hits:

[VHDL-FPGA-Verilogpcm

Description:   在光纤通信系统中,光纤中传输的是二进制光脉冲"0"码和"1"码,它由二进制数字信号对光源进行通断调制而产生。而数字信号是对连续变化的模拟信号进行抽样、量化和编码产生的,称为PCM(pulse code modulation),即脉冲编码调制。这种电的数字信号称为数字基带信号,由PCM电端机产生。-In optical fiber communication systems, fiber-optic transmission of light pulses is a binary " 0" code and " 1" code, which is a binary digital signal to carry out on-off modulation source derived. The digital signal is a continuously variable analog signal sampling, quantization and coding generated is called PCM (pulse code modulation), or pulse code modulation. Such as digital signal power digital baseband signal by the PCM client machine generated electricity.
Platform: | Size: 1024 | Author: 圈石 | Hits:

[Embeded-SCM Developbutterfly

Description:  计算离散傅里叶变换的一种快速算法,简称FFT。快速傅里叶变换是1965年由J.W.库利和T.W.图基提出的。采用这种算法能使计算机计算离散傅里叶变换所需要的乘法次数大为减少,特别是被变换的抽样点数N越多,FFT算法计算量的节省就越显著。 -Discrete Fourier transform calculation of a fast algorithm, referred to as FFT. Fast Fourier Transform in 1965 by JW Cooley and TW map out Kormakiti. This algorithm enables calculation of discrete Fourier transform computer required a significant reduction in the number of multiplication, in particular by changing the sampling points N more, FFT algorithm for calculating the amount of savings will be significant.
Platform: | Size: 1024 | Author: 圈石 | Hits:

[VHDL-FPGA-Veriloginterface

Description: 采用Cyclone EP1C3,VHDL程序算法实现了信号波形的实时采样并回放,同时能测量时域信号的频率,通过与MCU的8位并行接口,进行相互通信。-Using Cyclone EP1C3, VHDL program algorithm of the signal waveform of real-time sampling and playback at the same time capable of measuring the frequency of the signal in time domain, through a MCU 8-bit parallel interface to communicate with each other.
Platform: | Size: 4750336 | Author: 姚益武 | Hits:

[Otherjj

Description: 本题设计一个数字存储示波器,以Xilinx公司20万门FPGA芯片为核心,辅以必要的外围电路(包括信号调理、采样保持、内部触发、A/D转换、D/A转换和I/O模块),利用VHDL语言编程,实现了任意波形的单次触发、连续触发和存储回放功能,并按要求进行了垂直灵敏度和扫描速度的挡位设置。信号采集时,将外部输入信号经信号调理模块调节到A/D电路输入范围,经A/D转换后送入FPGA内部的双口RAM进行高速缓存,并将结果通过D/A转换送给通用示波器进行显示,完成了对中、低频信号的实时采样和高频信号的等效采样和数据存储回放。经测试,系统整体指标良好,垂直灵敏度和扫描速度等各项指标均达到设计要求。-The problem to design a digital storage oscilloscope, to Xilinx, 200,000 FPGA chip as the core, supplemented by the necessary peripherals (including signal conditioning, sample and hold, internal trigger, A/D converter, D/A conversion and I/O modules) the use of VHDL language programming, arbitrary waveform one-shot, continuous playback is triggered, and storage, in accordance with the requirements of the vertical sensitivity and sweep speed of the gear set. Signal acquisition, it will be the external input signal conditioning by the signal conditioning modules to the A/D circuit input range, after A/D converted into the FPGA s internal dual-port RAM for high-speed cache, and the results through the D/A converter to give general oscilloscope shows completed, the low-frequency signals in real-time sampling and high-frequency signals equivalent sampling and data storage playback. Been tested, the system as a whole indices are good, the vertical sensitivity and scan speed indicators meet
Platform: | Size: 546816 | Author: 黄奇家 | Hits:

[Com PortVHDLsourcecode

Description: VHDL编写的基于过采样的串口代码,在epm3256中编译通过,值得参考。-Written in VHDL-based over-sampling of the serial code, compiled by the epm3256, worth considering.
Platform: | Size: 2048 | Author: 崔建 | Hits:

[VHDL-FPGA-Verilog2345676588FPGAxiebofenxi

Description: 本文给出一种基于FPGA的新型谐波检测系统的设计方案。在该方案中,采用FPGA实现快速的FFT运算,采用数字锁相环来同步被测信号,以减小由非同步采样所产生的误差并给出实现的设计实现。数字锁相环和FFT算法用VHDL语言设计实现,该方案能提高谐波分析的精度以及响应速度,同时大大地精简了硬件电路, 系统升级非常方便。-This paper presents a new FPGA-based harmonic detection system design. In the scheme, using the FFT for fast FPGA computing, digital phase-locked loop to synchronize the measured signal to reduce the non-synchronous sampling error arising from implementation of the design and implementation are given. Digital PLL and FFT algorithm design and implementation using VHDL language, the program can improve the accuracy of harmonic analysis and response speed, and greatly streamline the hardware circuit, the system is very easy to upgrade.
Platform: | Size: 18432 | Author: 何正亚 | Hits:

[VHDL-FPGA-VerilogV_ADC_SPCTR_ANALZ

Description: 这是用VHDL写的高速AD采样分析设计的源码-It is written in high-speed AD VHDL design source sampling and analysis
Platform: | Size: 7919616 | Author: 郑生 | Hits:

[VHDL-FPGA-Veriloghh

Description: 此文件是一个Butterworth IIR滤波器的VHDL程序,此滤波器是10阶的,通带频率在2.5MHz——7.5MHz,采样频率为200MHz。此滤波性能不是很好,仅供参考。-This file is the VHDL program in a Butterworth IIR filter, this filter is 10 bands, the frequency of the passband of 2.5MHz- 7.5MHz sampling frequency is 200MHz. The filtering performance is not good for reference only.
Platform: | Size: 3072 | Author: liu hao | Hits:
« 12 »

CodeBus www.codebus.net