Welcome![Sign In][Sign Up]
Location:
Search - nios

Search list

[VHDL-FPGA-Verilogsopcniosexample

Description: 通过quartusII的sopc构建一个简单的nios系统,里面还有简单nios实例,操作步骤很详细-Sopc through the quartusII to build a simple system nios, nios there is also a simple example of the steps in detail
Platform: | Size: 1186816 | Author: maylag_1 | Hits:

[Software EngineeringNIOSIItutorials

Description: 这是北航的计算机EDA设计教程,详细介绍了NIOS系统的软硬件设计流程。-This is a computer BUAA EDA design tutorials, NIOS system described in detail the hardware and software design process.
Platform: | Size: 2738176 | Author: maylag_1 | Hits:

[VHDL-FPGA-Veriloggraphics_pipeline

Description: Implementation of GPU (Graphics Processing Unit) that rendered triangle based models. Our goal was to generate complex models with a movable camera. We wanted to be able to render complex images that consisted of hundreds to thousands of triangles. We wanted to apply interpolated shading on the objects, so that they appeared more smooth and realisitc, and to have a camera that orbitted around the object, which allowed us to look arond the object with a stationary light source. We chose to do this in hardware, because our initial implementation using running software on the NIOS II processor was too slow. Implementing parallelism in hardware is also easier to do than in software, which allows for more efficiency. We used Professor Land s floating point hardware, which allowed us to do calculations efficiency, which is essential to graphics.
Platform: | Size: 665600 | Author: Praveen | Hits:

[VHDL-FPGA-VerilogDS18b20

Description: 这是一个工业用的普通温度传感器DS18b20的VHDL文件,直接可用,可为FPGA的其他逻辑模块或者Nios提供接口,其输出为18b20的11位温度暂存器的值。-This is a common logic module for DS18b20 which can provides parallel outputs for Nios II or other internal units of FPGA.
Platform: | Size: 2048 | Author: 刘伟 | Hits:

[VHDL-FPGA-VerilogUART_DMA

Description: 基于ALTERA公司的NIOSII的串口通信DMA传输设计-NIOSII based on ALTERA s DMA transfer of the serial communication design
Platform: | Size: 11346944 | Author: 王超 | Hits:

[Communication-Mobileour_hardware_project

Description: 基于Nios II的汽车智能防盗导航系统核心作为嵌入式系统发展趋势,又作为汽车电子这样新兴行业的应用,其市场潜力不言而喻。-Nios II-based intelligent anti-theft vehicle navigation system as the core of the development trend of embedded systems and automotive electronics such as the application of new industries, and its market potential is self-evident.
Platform: | Size: 14420992 | Author: caiyunfei | Hits:

[Communication-Mobilelcd_driver

Description: 基于Nios II的汽车智能防盗导航系统核心作为嵌入式系统发展趋势,又作为汽车电子这样新兴行业的应用,其市场潜力不言而喻。-Nios II-based intelligent anti-theft vehicle navigation system as the core of the development trend of embedded systems and automotive electronics such as the application of new industries, and its market potential is self-evident.
Platform: | Size: 2048 | Author: caiyunfei | Hits:

[VHDL-FPGA-VerilogAltera_DE1_Training_Courses_Multimedia_Platform.zi

Description: Altera DE1 多媒体平台训练课程 视频教程-Altera DE1 training courses multimedia platform Video tutorial
Platform: | Size: 7545856 | Author: xiaoxu | Hits:

[Othersoftware_72nios

Description: 这是Nios II 7.2 IDE中的工程模板,在8.0中有些没有,在此列出来,希望方便大家-This is the Nios II 7.2 IDE projects template, in 8.0, some not, in this list, I hope to facilitate
Platform: | Size: 208896 | Author: 云帆 | Hits:

[VHDL-FPGA-VerilogFIFOed_avalon_uart9.0

Description: Fifoed avalon-uart for sopc Builder
Platform: | Size: 146432 | Author: vitaly | Hits:

[VHDL-FPGA-VerilogNIOSIIstepbystep

Description: 分步介绍了NIOS II的基本步骤,相信你可以在很短的时间内上手的-Step-by-step NIOS II introduces the basic steps, I believe you can in a very short time-to-use
Platform: | Size: 1638400 | Author: nick | Hits:

[VHDL-FPGA-VerilogLab3

Description: A simple example of an isr for the Nios -A simple example of an isr for the Nios II
Platform: | Size: 1024 | Author: gios78 | Hits:

[TCP/IP stackucos_lwip_new

Description: 基于NIOS II软核,和LWIP协议站的原代码-Based on the NIOS II soft-core, and the station' s original agreement LWIP code
Platform: | Size: 416768 | Author: 期望 | Hits:

[Otherled_test

Description: 用Altera公司的EP2C35F672C8可配置软核技术生成的流水灯程序,在革新开发板上调试好的。-EP2C35F672C8 using Altera' s soft-core technologies can be configured to generate light flow procedures, the innovative development of a good on-board debugging.
Platform: | Size: 4514816 | Author: 孙志江 | Hits:

[VHDL-FPGA-VerilogDE2_70_TV

Description: 基于NIOS II的电视视频处理工程文件,很完整。-NIOS II on TV video processing project file, it is complete.
Platform: | Size: 163840 | Author: 高天天 | Hits:

[Software EngineeringAlteraArticleContestPapers

Description: 本源码为Altera中国大学生电子设计文章竞赛的历届获奖论文汇编,内容主题涵盖如下4个方面: PLD在通讯、消费类、计算机和工业控制方面的应用 Altera器件、Quartus® II 软件的设计和优化技术 Altera FPGA在数字信号处理中的应用 Nios® II 软处理器在各领域的应用 获奖作品均是是参赛者独立设计的未曾公开发表过的原创性作品,在作品原创性和特色性 、实用性(结合当前的热点应用) 和作品的完整性(有明确的实验或仿真数)上均有很多优势 。 每年的获奖论文共18篇左右。-The source code for Altera Chinese Undergraduate Electronic Design Contest of the previous article, the compilation of award-winning paper, which covers four aspects as follows: PLD in the communications, consumer, computer and industrial control applications Altera devices, Quartus ® II software for design and optimization Altera FPGA technology in digital signal processing applications in the Nios ® II soft processor applications in various fields is the award-winning works were designed by participants independence had not been published original works in the works and the characteristics of originality, practical and (combined with the application of the current hot spots) and the integrity of the work (there are clearly a number of experimental or simulation) have many advantages on. The annual award-winning total of 18 papers around.
Platform: | Size: 26785792 | Author: 成逛 | Hits:

[source in ebookDMA

Description: 本范例源于书籍‘NiosII软件架构解析’DMA(Memory to Memory)驱动演示代码-This example from the book NiosII software architecture analysis
Platform: | Size: 1024 | Author: 万月敏 | Hits:

[VHDL-FPGA-VerilogucGUI_3.24_NiosII_JimYang

Description: 嵌入式图形界面开发(NIOSII),uc/GUI 3.24 porting for NiosII 5.1 (SED1335 Controller)-Embedded GUI development (NIOSII), uc/GUI 3.24 porting for NiosII 5.1 (SED1335 Controller)
Platform: | Size: 474112 | Author: 老苏 | Hits:

[Embeded-SCM DevelopNIOS_DMA_test

Description: NiosII范例,都是比较经典复杂的例程,很不错的-FPGA NIOSII SOPC
Platform: | Size: 17206272 | Author: yjh | Hits:

[VHDL-FPGA-VerilogUCOS-II

Description: 基于FPGA的实验 UC\OS-II操作系统移植,使用SOPC软件及其配置方法,掌握其在NIOS II IDE 中的简单使用。-FPGA-based experimental UC \ OS-II operating system migration, the use of SOPC software and its configuration to grasp the NIOS II IDE in the simple use.
Platform: | Size: 1024 | Author: 贺欧 | Hits:
« 1 2 ... 42 43 44 45 46 4748 49 50 »

CodeBus www.codebus.net