Welcome![Sign In][Sign Up]
Location:
Search - nios

Search list

[VHDL-FPGA-VerilogNios_II_SOPC

Description: 基于Nios_II软核处理器的通信信号源SOPC设计,很有用的资料.-Nios_II soft-core processor-based communication signal source SOPC design, very useful information.
Platform: | Size: 337920 | Author: | Hits:

[Otherniosboot

Description: 这篇文章介绍了niosii u-boot启动方式-nios ii u-boot
Platform: | Size: 77824 | Author: sunlichao | Hits:

[VHDL-FPGA-Verilogaltera

Description: 在调试nios ii时,由于软件或者是环境的改变造成原先建好的工程不能正常使用,提供一点解释希望能有所帮助-when debugging Nios ii, or because of software changes in the environment are caused by the original construction of the project should not normally use, to provide a little hope to be helpful to explain
Platform: | Size: 2048 | Author: yushenghui | Hits:

[Other Embeded programSD_Card

Description: code for SD-card in nios-code for SD-card in niosII
Platform: | Size: 1024 | Author: mih | Hits:

[VHDL-FPGA-VerilogVGA_Ctrl

Description: 基于NIOS II 的DE1开发板的VGA 控制器VGA控制模块主要控制VGA模块的开始和其运行的状态,需要写一个Avalon 从端口响应CPU的控制信号,继而控制整个模块的运行,-Based on the DE1 of the NIOS II development board VGA controller to control the VGA module VGA main control module and its operation began, and the need to write a response to Avalon from the CPU ports of the control signal, and then control the operation of the entire module,
Platform: | Size: 1024 | Author: 黄涛 | Hits:

[Crack Hackdes

Description: DES加密算法C语言实现,我以将它成功的移植到Nios处理器上-DES encryption algorithm C language, I would like to be transplanted to the success of the Nios processor
Platform: | Size: 2048 | Author: xht | Hits:

[VHDL-FPGA-Verilogtut_debug_software_verilogDE2

Description: This tutorial presents some basic concepts that can be helpful in debugging of application programs written in the Nios II assembly language, which run on Altera’s DE2 boards.
Platform: | Size: 138240 | Author: *Roma* | Hits:

[VHDL-FPGA-Verilogtut_nios2_introduction

Description: This tutorial presents an introduction to Altera’s Nios R II processor, which is a soft processor that can be in- stantiated on an Altera FPGA device. It describes the basic architecture of Nios II and its instruction set. The NiosII processor and its associated memory and peripheral components are easily instantiated by using Altera’s SOPCBuilder in conjuction with the Quartus R II software.
Platform: | Size: 116736 | Author: *Roma* | Hits:

[Embeded-SCM Developtime

Description: 一个关于Nios的万年历程序,相当有趣,扩展性极强,欢迎大家下载使用。-The calendar on the Nios procedures, very interesting, highly scalable, welcome to download.
Platform: | Size: 1024 | Author: zhangying | Hits:

[VHDL-FPGA-VerilogFPGA-DE1-PACMAN

Description: Pacman 4 DE1-FPGA-Board
Platform: | Size: 943104 | Author: bert1970 | Hits:

[Other Embeded programCharacter_LCD

Description: 这是一个 NIOSII系统的 1602LCD 控制IP核-This is a system NIOSII nuclear 1602LCD control IP
Platform: | Size: 375808 | Author: zhao | Hits:

[BooksIATAPP104_DMA_NIOS2

Description:
Platform: | Size: 73728 | Author: 王轶群 | Hits:

[Embeded-SCM DevelopNIOSII

Description: 很好的学习nios的中文资料,可以作为初学者的参考资料,需要的可以下来看看!-Good learning Chinese nios information can be used as reference for beginners, need to be down to see!
Platform: | Size: 863232 | Author: 宋振丰 | Hits:

[TCP/IP stackUsingthenichestacktcpipctack

Description: 在niosii处理器重使用inter 公司的nichestack协议栈 -Using the nichestack tcpipctack in nios ii processor
Platform: | Size: 896000 | Author: 伍迪 | Hits:

[Embeded-SCM DevelopNios_microprocessor

Description: 嵌入式nios微处理器在FPGA中的开发应用-Nios microprocessor embedded in the FPGA development and application of
Platform: | Size: 186368 | Author: 刘晓彬 | Hits:

[OtherDC_motor_speed_regulation

Description: 直流电机的转速调节,可以学习如何使用nios IDE开发软件-DC motor speed regulation, can learn how to use the nios IDE to develop software
Platform: | Size: 5120 | Author: lgl | Hits:

[Embeded-SCM Developniosii

Description: nios ii设备分析nios ii设备分析-nios
Platform: | Size: 76800 | Author: xuwenqing | Hits:

[VHDL-FPGA-Verilognios2-flash-override

Description: 在开发nios2时,当把nios2中写的程序烧录到用epcs4中时会报错,原因是找不到epcs的映射资料,把这个文件,放到quartus根目录的bin文件夹内后,再打开一次flash program,就能下载成功!-Nios2 in the development, when the procedures wrote nios2 writers to use when epcs4 in error, the use of this document, into the root directory quartus the bin folder, you can download success!
Platform: | Size: 646144 | Author: cand | Hits:

[VHDL-FPGA-Verilogsourcefile

Description: 在Altera公司的Cyclone系列FPGA开发板上试验的按键中断程序,希望对那些学习中断开发的初学者有帮助。 pio_key.v是verilog编写的按键中断程序,对应四个按键,按其中任何一个键都可以发送一个中断; keyint.c是Nios中编写的C程序,用于检测按键的中断,如果检测到中断,会检测是哪个按键按下,从而执行相应的程序! -In Altera' s Cyclone series FPGA development board interrupt key test procedures, interruption of hope to those who study the development of help for beginners. verilog prepared pio_key.v button is interrupted procedures, corresponding to the four keys, in accordance with any one key can send an interrupt keyint.c is prepared Nios of C procedures for detecting the interruption of keys, if the interruption is detected, will detect which button is depressed, thus the implementation of appropriate procedures!
Platform: | Size: 3072 | Author: 王陶 | Hits:

[Software EngineeringLCD-Drive-and-control-based-on-NIOSII

Description: 本文介绍了一种基于NIOS II软核处理器实现对LCD-LQ057Q3DC02控制的新方法。在设计中利用FPGA的Altera的SOPC Builder定制NIOS II软核处理器及其与显示功能相关的“软” 硬件模块来协同实现显示控制的软硬件设计。利用SOPC技术,将NIOS II CPU和LCD控制器放在同一片FPGA中,解决了通常情况下必须使用LCD 控制专用芯片才能解决LCD显示的问题。-This article describes an approach based on NIOS II soft-core processors to achieve control of the LCD-LQ057Q3DC02 new method. The use of FPGA in the design of Altera' s SOPC Builder Custom NIOS II soft-core processor and its display-related " soft" hardware modules to achieve synergistic display control hardware and software design. Using SOPC technology, NIOS II CPU and LCD controller on the same FPGA, the solution to the normally must use the LCD control ASIC in order to solve the problem of LCD display.
Platform: | Size: 67584 | Author: shiquan | Hits:
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »

CodeBus www.codebus.net