Welcome![Sign In][Sign Up]
Location:
Search - i2c controller

Search list

[Other resourceRD1006--I2C

Description: RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件-RD1006 -- I2C and memory IP code and documentation. Lattice offer I2C Controller for Serial EEPROMs source code available, and document contains tb -
Platform: | Size: 206145 | Author: 刘超 | Hits:

[Otheri2c

Description: I2C controller的源码,包括TESTBENCH在内,里面包含有EEPROM的behaving model,前些日子在本站下了一个EEPROM的behaving model,发现可能只是作者的初版,里面错误比较多,因此上传一个能编译拿过来就能用的环境。
Platform: | Size: 16779 | Author: 二马 | Hits:

[Communication-Mobilei2c读写代码C语言

Description: i2c读写代码C语言,通过控制I2C控制器,设置I2C时序来读写E2PROM的源代码,很有帮助-i2c read and write C-language code by controlling I2C controller, I2C set E2PROM timing to read and write the source code, helpful
Platform: | Size: 20549 | Author: seal_luo | Hits:

[Communication-Mobilei2c读写代码C语言

Description: i2c读写代码C语言,通过控制I2C控制器,设置I2C时序来读写E2PROM的源代码,很有帮助-i2c read and write C-language code by controlling I2C controller, I2C set E2PROM timing to read and write the source code, helpful
Platform: | Size: 20480 | Author: seal_luo | Hits:

[VHDL-FPGA-VerilogI2C总线控制器 altera提供-VHDL

Description: I2C总线控制器 altera提供的VHDL的源程序代码-I2C Bus Controller ALTERA the VHDL source code
Platform: | Size: 1639424 | Author: 陈旭 | Hits:

[Embeded-SCM Develop通用MSP430—I2C程序

Description: 利用msp430的通用I/O端口模拟I2c协议的源代码,利用此代码可以不需要I2c模块即可进行I2C通信-use of the Controller General I/O Ports I2c agreement simulation source code, the use of this code can not I2c module can hold I2C communications
Platform: | Size: 1024 | Author: 戴海峰 | Hits:

[VHDL-FPGA-VerilogI2C_1.1

Description: Simple I2C controller -- 1) No multimaster -- 2) No slave mode -- 3) No fifo s -- -- notes: -- Every command is acknowledged. Do not set a new command before previous is acknowledged. -- Dout is available 1 clock cycle later as cmd_ack -Simple I2C controller-- 1) No multimaster-- 2) No slave mode-- 3) No fifo's---- notes :-- Every command is acknowledged. Do not set a ne w command before previous is acknowledged.-- D is available out a clock cycle later as cmd_ack
Platform: | Size: 3072 | Author: 郑开科 | Hits:

[VHDL-FPGA-VerilogRD1006--I2C

Description: RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件-RD1006-- I2C and memory IP code and documentation. Lattice offer I2C Controller for Serial EEPROMs source code available, and document contains tb-
Platform: | Size: 205824 | Author: 刘超 | Hits:

[VHDL-FPGA-Verilogi2c_modular

Description: 本程式為使用VHDL撰寫的I2C controller modular, 使用者可以輕易的套用, 方便控制i2C的硬件. 也可以從code style了解I2C的spec. 動作模式. 極適用於初學者.-program for the use of the VHDL written I2C controller modular, Users can easily use, i2C convenient control of the hardware. can understand from the code style I2C in the spec. Action mode. very applicable to beginners.
Platform: | Size: 8192 | Author: 明華 | Hits:

[MiddleWareI2C_24C16

Description: philip lpc2292 ARM CPU的I2C控制器源代码,以操作24C04为例-philip lpc2292 ARM CPU I2C controller source code, C04 24 to operate as an example
Platform: | Size: 27648 | Author: 潘晓锋 | Hits:

[Otheri2c

Description: I2C controller的源码,包括TESTBENCH在内,里面包含有EEPROM的behaving model,前些日子在本站下了一个EEPROM的behaving model,发现可能只是作者的初版,里面错误比较多,因此上传一个能编译拿过来就能用的环境。-I2C controller source code, including the Testbench included, which contains EEPROM
Platform: | Size: 16384 | Author: 二马 | Hits:

[Embeded-SCM DevelopI2C_Controller

Description: I2C controller verilog code for altera fpga platform.
Platform: | Size: 1024 | Author: 蔡俊仪 | Hits:

[VHDL-FPGA-VerilogI2C_Verilog

Description: I2C 控制器的 Verilog源程序 example-I2C controller Verilog source code example
Platform: | Size: 206848 | Author: 展望 | Hits:

[VHDL-FPGA-VerilogI2C

Description: 用verilog HDL实现I2C Master Controller 的设计,包括主程序设计和测试程序设计-Verilog HDL using I2C Master Controller to achieve the design, including the main program design and test program design
Platform: | Size: 211968 | Author: zbs | Hits:

[Embeded-SCM DevelopI2C

Description: i2c做控制器,温度传感器输出数据通过i2c到FPFA.-do i2c controller, temperature sensor output i2c data to FPFA.
Platform: | Size: 1215488 | Author: calm | Hits:

[Software EngineeringI2cControllerReferenceDesign

Description: I2C,Controller,FPGA设计方法-I2C Controller design mathod for FPGA
Platform: | Size: 43008 | Author: yangyanwen | Hits:

[VHDL-FPGA-Verilogi2c

Description: 用VHDL写的I2C控制器,可以读写EEPROM,比较经典。-Written with VHDL I2C controller, you can read and write EEPROM, more classic.
Platform: | Size: 3072 | Author: wwww | Hits:

[VHDL-FPGA-VerilogI2C_controller_core

Description: or1200 i2c controller core-i2c controller core
Platform: | Size: 1494016 | Author: sun | Hits:

[VHDL-FPGA-VerilogI2C-controller

Description: I2C控制器,能够实现I2C总线的读写功能,具有一定的借鉴意义-I2C controller
Platform: | Size: 270336 | Author: liu qiang | Hits:

[VHDL-FPGA-VerilogI2C-Controller

Description: I2C Controller for Serial EEPROMs, 包括源代码和说明文档,可以仿真-I2C Controller for Serial EEPROMs
Platform: | Size: 394240 | Author: amy | Hits:
« 12 3 4 5 6 7 8 9 10 ... 15 »

CodeBus www.codebus.net