Welcome![Sign In][Sign Up]
Location:
Search - decoder

Search list

[Crypt_Decrypt algrithmsfast huffman decoder

Description: A fast huffman decoder for standard JPEG image. This C code is used in linux and windows.
Platform: | Size: 380277 | Author: qqnice | Hits:

[Graph programsmart decoder

Description: 视频解码:包括反DCT变换,反量化,VLD,MC等。与国际上的MPEG4 可以一较高下。-video decoder : including anti- DCT, anti-quantified, Variable Length, such as MC. With international MPEG4 can be a higher under.
Platform: | Size: 68608 | Author: 忘了我吧 | Hits:

[Linux-UnixARMMP3-Decoder

Description: ARM的MP3解码源程序(3.60MB)-ARM MP3 decoder source (3.60MB)
Platform: | Size: 3782656 | Author: qgh | Hits:

[Streaming Mpeg4Mpeg4-ASP-decoder

Description: 这个文件是关于视频解码的源码,视频格式是mpeg4 ASP。如果对视频编解码感兴趣的兄弟可以看一看。-this document is the source video decoder, video format mpeg4 ASP. If the right video codec interested can look at the brothers.
Platform: | Size: 1338368 | Author: jasen | Hits:

[Graph programdecoder

Description: X264解码平台 很好的C代码 在VC环境下运行-X264 decoder platform good C code running in VC environment
Platform: | Size: 17408 | Author: chenlei | Hits:

[Special Effectsdecoder

Description: jpeg decoder ,very usefull tools
Platform: | Size: 84992 | Author: zj433 | Hits:

[VHDL-FPGA-VerilogRS(204_188)decoder

Description: <Verilog HDL 语言编程》 RS(204,188)译码器的设计-<Verilog HDL language programming RS (204,188) Decoder
Platform: | Size: 11264 | Author: 李映波 | Hits:

[Communication-Mobiledecoder

Description: 用verilog编写的bch译码器,包括测试文件,随机加载了比特流,进行了测试。-Prepared using Verilog BCH decoder, including test papers, random load the bit stream to carry out the test.
Platform: | Size: 357376 | Author: 牛顿 | Hits:

[SCMPT2262.Decoder

Description: PT2262解码C程序,这样应该就够了吧-PT2262 decoder C program, so it should be enough
Platform: | Size: 1024 | Author: dreamboy | Hits:

[Software Engineeringdecoder

Description: 此三八译码器,实现模拟信息量到数字量的计算。-This decoder 38, the amount of information to achieve Analog figure calculation.
Platform: | Size: 126976 | Author: 夏天宇 | Hits:

[VHDL-FPGA-VerilogDecoder

Description: 这是一个HDB3的译码器,实现从HDB3双极性码到高低电平二值序列的转化-This is a decoder of the HDB3, HDB3 bipolar from high-low-level code to the conversion of binary sequences
Platform: | Size: 1024 | Author: 石云 | Hits:

[VHDL-FPGA-Verilogdecoder

Description: 一个verilog源代码,用于译码器的编程。-A verilog source code, for programming decoder.
Platform: | Size: 65536 | Author: PUDN_CHEN | Hits:

[ELanguagedecoder

Description: 8线3线优先译码器 可以实现优先编译的功能-8-line 3-line priority decoder can achieve the functions of the compiler priority
Platform: | Size: 86016 | Author: 小欧 | Hits:

[SCMdmx512-decoder-program

Description: DMX512解码程序,很好的一个程序欢迎大家来下载-DMX512 decoder program
Platform: | Size: 12288 | Author: 胡尚林 | Hits:

[Streaming Mpeg4decoder

Description: 共有12个解码器,可以解码h.264的码流-decoder which can decode h.264 streams
Platform: | Size: 1724416 | Author: helijun | Hits:

[DSP programmp3-decoder(DM6446)

Description: 这个是我们公司买TI的DVEVM6446开发板时,板子上带的序列号,在 TI网站上注册后下载的一个解码器,请放心使用-This is our company to buy TI' s DVEVM6446 development board, the board' s serial number on the belt, in the TI web site after registration to download a decoder, please rest assured that the use of
Platform: | Size: 2211840 | Author: 郭志军 | Hits:

[VHDL-FPGA-Verilogdecoder

Description: 指令译码器的设计vhdl语言或者verilog HDL语言对单片机程序的处理-Instruction decoder design vhdl language or verilog HDL language processing microcomputer programs
Platform: | Size: 1024 | Author: wvqyd | Hits:

[Embeded-SCM Developdecoder

Description: 这是一个开源的mp3解码器FPGA解决方案,内部有 VHDL语言编写,内部有说明,全英文的-This is an open-source mp3 decoder FPGA solution, within the VHDL language, within the note, all in English
Platform: | Size: 34816 | Author: 黄振 | Hits:

[ELanguageSeven-segment-display-decoder

Description: 七段显示译码器 因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。 -Seven-segment display decoder because the computer output is BCD code, in order to display in the digital tube decimal number, it must first convert the BCD code fonts 7 segment digital pipes required by code. We can replace the computer output, 7 segment BCD code font code, and make the digital control circuit shows a decimal number called the " Seven-Segment decoder fonts."
Platform: | Size: 3072 | Author: jlz | Hits:

[matlabDecoder

Description: 通用汉明码译码器,并加入容错处理,MATLAB源程序-General Hamming code decoder, and add fault tolerance, MATLAB source code
Platform: | Size: 2048 | Author: michael | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net