Welcome![Sign In][Sign Up]
Location:
Search - cic

Search list

[OtherCIC滤波器的补偿

Description: CIC滤波器的补偿,适用于抽取内插滤波器的设计
Platform: | Size: 2031 | Author: lwy_xd@163.com | Hits:

[RFIDcic code Verilog代码

Description: cic code选用verilog代码编写
Platform: | Size: 2629 | Author: flcan@163.com | Hits:

[VHDL-FPGA-VerilogCIC

Description: 介绍了积分梳状滤波器(CIC)设计,压缩包里面有程序的流程图,采用verilogHDL编写,在modelsim上可以实现仿真结果,非常不错-Introduced the integral comb filter (CIC) design, there are procedures for compressed packets flow chart, using verilogHDL prepared on the ModelSim simulation results can be achieved very good
Platform: | Size: 153600 | Author: yaoyongshi | Hits:

[Othercic

Description: hogenauer cic滤波器的算法的研究及其与在fpga中的实现,简明易懂。-hogenauer cic filter algorithm and its relationship to the FPGA in the realization of easy to understand.
Platform: | Size: 257024 | Author: 岑楠 | Hits:

[VHDL-FPGA-Verilogcic

Description: verilog码写的CIC滤波器的程序,包括4倍抽取CIC滤波器和内插的CIC滤波器两个-Verilog code written by CIC filter procedures, including 4 times the extraction CIC filter and the CIC interpolation filter two
Platform: | Size: 22528 | Author: 桃子 | Hits:

[Embeded-SCM DevelopCIC

Description: 本文讲解CIC数字滤波器的设计,对设计者有很大的帮助-This article on the CIC digital filter design, for designers of great help
Platform: | Size: 129024 | Author: asdtgg | Hits:

[Communication-MobileCIC

Description: CIC梳妆滤波器生成器,生成任意位数任意长度的CIC滤波器源代码-Dressing CIC filter generator to generate any arbitrary length of the median of the CIC filter source code
Platform: | Size: 134144 | Author: lizhizhou | Hits:

[VHDL-FPGA-VerilogCIC

Description: 台湾国家晶元设计中心VHDL内部培训资料(CIC).pdf-Taiwan
Platform: | Size: 2984960 | Author: 张贺寅 | Hits:

[VHDL-FPGA-VerilogCIC

Description: cpld/fpga积分梳状滤波器(CIC)设计-cpld/fpga Integral comb filter (CIC) design
Platform: | Size: 1024 | Author: 陈臣 | Hits:

[matlabcic

Description: 五阶CIC梳状积分滤波器,可以综合,非常有参考价值-Fifth-order CIC points comb filter, can be integrated and very useful
Platform: | Size: 760832 | Author: shirley | Hits:

[Software EngineeringCIC-cosinefilter

Description: CIC滤波器和COSine滤波器级联,改进了CIC的矛盾。英文文章-CIC filter and filter cascade CoSine improved CIC contradiction. English articles
Platform: | Size: 217088 | Author: 中山太乙 | Hits:

[Software EngineeringCIC

Description: 详细介绍了cic设计需要注意的问题,对cic的设计会起到非常大的作用-CIC design details need to pay attention to the CIC design will play a very big role
Platform: | Size: 145408 | Author: xiebin | Hits:

[matlabCIC

Description: 关于一个滤波器的程序,三级CIC抽取的源程序。-On a filter process, the three-tier CIC samples of the source.
Platform: | Size: 1024 | Author: 王建龙 | Hits:

[matlabCIC

Description: matlab实现一个decimation为4的CIC滤波器-matlab implementation of a decimation filter for 4 of the CIC
Platform: | Size: 1024 | Author: morang | Hits:

[matlabcic

Description: 当前工程上广泛采用了一种高效滤波器,即CIC(cascaded integrator-comb filter)将其作为第一级来实现抽取、低通滤波。第二级再用一个普通的FIR滤波器就实现使后端设备工作在较低的频率下且硬件花销少、功耗也很低。-Widely used in the current project, a highly efficient filter, that is, CIC (cascaded integrator-comb filter) as the first level to achieve the extraction, low-pass filter. An ordinary second-class and then the realization of the FIR filter so that the work of the back-end equipment at a lower frequency and spending less hardware, power consumption is very low.
Platform: | Size: 63488 | Author: 王奎 | Hits:

[Communication-MobileCIC

Description: CIC梳状滤波器verilog源码,包括积分器,下抽级以及梳状滤波器三个部分。-CIC comb filter verilog source code, including the integrator, under the pump, as well as comb filter class is in three parts.
Platform: | Size: 1024 | Author: 张佳培 | Hits:

[VHDL-FPGA-Verilogcic

Description: 在MATLAB2007A/SIMULINK环境下用DSP BUILDER8.0实现了五级CIC,解决了溢出问题。生成了可用的VHDL文件。- DSP BUILDER8.0 A 5 stages CIC filer is realized in MATLAB2007A/SIMULINK by using DSP Builder 8.0.The overflow problem is resulved.Useful VHDL files are generated at last.
Platform: | Size: 1543168 | Author: hcq | Hits:

[Embeded-SCM DevelopCIC

Description: 积分梳妆滤波,介绍了积分梳状滤波器(CIC)设计,压缩包里面有程序的流程图,采用verilogHDL编写-jifenshuzhuanglubo
Platform: | Size: 154624 | Author: zhangyunfei | Hits:

[VHDL-FPGA-VerilogCIC

Description: CIC IP core实现结构中自动生成的接口代码,基于软件无线电的应用,在毕业论文中已使用过。-CIC IP core to achieve the structure of the interface code automatically generated, based on software radio applications, has been used in the thesis.
Platform: | Size: 7168 | Author: 黄顺涛 | Hits:

[VHDL-FPGA-VerilogCIC

Description: 五阶CIC滤波器,用于降低数据传输速率。数字下变频技术不仅是软件无线电核心技术之一,还是中频数字化接收系统重要组成部分。数字下变频技术中广泛用到级联积分梳状滤波器(CIC滤波器)-CIC filter
Platform: | Size: 1430528 | Author: 姚琼琼 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 18 »

CodeBus www.codebus.net