Welcome![Sign In][Sign Up]
Location:
Search - ahdl

Search list

[Embeded-SCM DevelopRS232

Description: 在QuartusII中使用AHDL语言编写一个RS232串行数据通信接口,要求设计输入输出端口,要求能提供的接收端口正确地接收。其中1bit作为start位,8bit作为数据,1bit作为end位。
Platform: | Size: 136868 | Author: 戴振华 | Hits:

[Develop ToolsPART7-AHDL

Description: altera关于hardcopy的资料PPT格式的 第7部分
Platform: | Size: 467029 | Author: hubin | Hits:

[Other resourcesolution1324

Description: SX-CPLD/FPGA 数字逻辑电路设计实验仪 SX-CPLD/FPGA 数字逻辑电路设计实验仪 产品介绍 1.利用CPLD/FPGA 提供的软硬件开发环境学习最新逻辑IC 设计,以取代TTL/CMOS 复杂的硬件设计。 2.可使用电路绘图法、ABEL 语言、波形图和数字硬件描述语言法(VHDL/AHDL)来开发电路。 3.CPLD/ FPGA 提供引脚可任意设定,故作测试实验时不需要做硬件连接,可节省大量连线焊接时间,快速学习软硬件的运用。 4.CPLD/ FPGA 每一I/O Pin 皆有逻辑状态监视器,以便迅速了解每一引脚状态。 5.清楚标示每一管脚的脚位,易于观察和测量。 6.使用并口在开发系统下直接下载。 7.可在线将CPLD/ FPGA 程序到FLASH ROM,实验仪可独立运行,适合大学生EDA 电子竞赛。 8.可做8051 和CPLD/ FPGA 的组合电路实验。 9.适用于WINDOWS95/98/NT/2000/XP 操作系统。 10.数万门的现场可编程芯片让设计所思即所得。
Platform: | Size: 171215 | Author: vobno | Hits:

[Embeded-SCM Developfreecore_ahdl

Description: 免费的AHDL模块库,包括IIC控制器,DRAM控制器,UART等28个模块,AHDL源代码-free AHDL module library, including IIC controllers, DRAM controller, UART, etc. 28 modules, source code AHDL
Platform: | Size: 50274 | Author: 董沙瓤 | Hits:

[Other resourceclock

Description: 在MAXPLUS II 平台上用ahdl 写的电子钟,
Platform: | Size: 279246 | Author: 谭曼琼 | Hits:

[Special EffectsFPGA_YUV2RGB

Description: 自己用AHDL写的关于YUV信号转RGB信号的视频处理,硬件环境可能不太一样,可以做为参考,是在EP1C6Q240I7上运行的
Platform: | Size: 16120 | Author: 木子 | Hits:

[Other resourcesuperSPI

Description: 用AHDL语言编写,MAXPULS开发.通信不受外部时钟速率和数据字节数目限制.-with AHDL prepared MAXPULS development. Communications from external clock rate and restriction on the number of data bytes.
Platform: | Size: 1491 | Author: 陈瑞 | Hits:

[Communication伪随机序列

Description: 线形反馈移位寄存器(LFSR)是数字系统中一个重要的结构,本程序可以自动产生AHDL,VHDL,Verilog的源代码及电路原理图。程序可以运行在win98/2000/NT平台-linear feedback shift register (LFSR) digital system is an important structure, the process can be automatically generated AHDL, VHDL, Verilog source code and circuit schematics. Procedures can run on platforms win98/2000/NT
Platform: | Size: 163107 | Author: 夏沫 | Hits:

[VHDL-FPGA-VerilogAHDL语法

Description:
Platform: | Size: 79872 | Author: wangbadan079 | Hits:

[Communication伪随机序列

Description: 线形反馈移位寄存器(LFSR)是数字系统中一个重要的结构,本程序可以自动产生AHDL,VHDL,Verilog的源代码及电路原理图。程序可以运行在win98/2000/NT平台-linear feedback shift register (LFSR) digital system is an important structure, the process can be automatically generated AHDL, VHDL, Verilog source code and circuit schematics. Procedures can run on platforms win98/2000/NT
Platform: | Size: 162816 | Author: 夏沫 | Hits:

[VHDL-FPGA-VerilogDigital_030423

Description: 服务器的的板在载控制器的AHDL程序,包括原理图编译,用在EPM7128上(CPLD).-server board controller is contained in the AHDL procedures, including schematic compiler, the use EPM7128 (CPLD).
Platform: | Size: 526336 | Author: 老罗 | Hits:

[VHDL-FPGA-Verilogref-ualaw

Description: A率/u率 压缩与解压缩的IP核,。 # 由AHDL语言写成,可在MaxplusII和QuartusII中使用,源代码加密。-A rate/u rate compression and decompression of the IP core,. By AHDL# languages, and the Quartus II MaxplusII use, the source code encryption.
Platform: | Size: 119808 | Author: zhangkun | Hits:

[VHDL-FPGA-VerilogADPLL

Description: verilog ADPLL file with testbench.v
Platform: | Size: 25600 | Author: | Hits:

[TCP/IP stackahdlc

Description: Asynchronous HDLC routines
Platform: | Size: 1024 | Author: sunny | Hits:

[OpenGL programyesadmin_com_20051126142245906

Description: ·以C#完成msn到jabber的tr. · 本游戏是采用斜45度. ·本源码是wis芯片完成mpeg. ·在VxWorks 编程过程中,. ·Raw Socket(原始套接字. ·基于OpenGL的ActiveX控件. ·Windows下的基于intel的i. ·Altera AHDL语言设计的PC. ·值得一看,对于网络管理. ·北京里工大学ASIC设计研. ·绝对经典的uc/os的程序,. ·1,本软件实现了围棋打谱,. ·日本著名的的嵌入式实时. ·ddos源代码,c语言。共同-C# to complete the msn to jabber tr. The game is the ramp 45 degrees. The FOSS wis chip is completed mpeg. In VxWorks programming process, . Raw Socket (original socket. OpenGL-based ActiveX. W indows under the intel-based Altera AHDL i. PC design. worth 1, for network management. Beijing University to work in ASIC design research. absolute classic uc/os procedures, . 1, the software Go to fight the spectrum. Prominent Japanese embedded real-time. ddos source code, c language. Common
Platform: | Size: 1908736 | Author: 大大 | Hits:

[Embeded-SCM DevelopquartusGuide

Description: 设计输入 ! 多种设计输入方法 – Quartus II • 原理图式图形设计输入 • 文本编辑 – AHDL, VHDL, Verilog • 内存编辑 – Hex, Mif – 第三方工具 • EDIF • HDL • VQM – 或采用一些别的方法去优化和提高输入的灵活性: • 混合设计格式 • 利用LPM和宏功能模块来加速设计输入-design input! Design a variety of input methods-Quartus
Platform: | Size: 844800 | Author: fgghh | Hits:

[Embeded-SCM DevelopRS232

Description:
Platform: | Size: 136192 | Author: 戴振华 | Hits:

[SCMsolution1324

Description: SX-CPLD/FPGA 数字逻辑电路设计实验仪 SX-CPLD/FPGA 数字逻辑电路设计实验仪 产品介绍 1.利用CPLD/FPGA 提供的软硬件开发环境学习最新逻辑IC 设计,以取代TTL/CMOS 复杂的硬件设计。 2.可使用电路绘图法、ABEL 语言、波形图和数字硬件描述语言法(VHDL/AHDL)来开发电路。 3.CPLD/ FPGA 提供引脚可任意设定,故作测试实验时不需要做硬件连接,可节省大量连线焊接时间,快速学习软硬件的运用。 4.CPLD/ FPGA 每一I/O Pin 皆有逻辑状态监视器,以便迅速了解每一引脚状态。 5.清楚标示每一管脚的脚位,易于观察和测量。 6.使用并口在开发系统下直接下载。 7.可在线将CPLD/ FPGA 程序到FLASH ROM,实验仪可独立运行,适合大学生EDA 电子竞赛。 8.可做8051 和CPLD/ FPGA 的组合电路实验。 9.适用于WINDOWS95/98/NT/2000/XP 操作系统。 10.数万门的现场可编程芯片让设计所思即所得。 -err
Platform: | Size: 171008 | Author: vobno | Hits:

[SCMclock

Description: 在MAXPLUS II 平台上用ahdl 写的电子钟,-MAXPLUS II platform in ahdl write with electronic clock
Platform: | Size: 278528 | Author: 谭曼琼 | Hits:

[Special EffectsFPGA_YUV2RGB

Description: 自己用AHDL写的关于YUV信号转RGB信号的视频处理,硬件环境可能不太一样,可以做为参考,是在EP1C6Q240I7上运行的-AHDL write their own use on the YUV signal transduction RGB video signal processing, hardware environment may not be the same, can be used as reference, is running on EP1C6Q240I7
Platform: | Size: 15360 | Author: yhb | Hits:
« 1 2 34 5 »

CodeBus www.codebus.net