Welcome![Sign In][Sign Up]
Location:
Search - I-Q

Search list

[CommunicationqpskI&Q

Description: 此為qpsk的範例,將一訊號分解成I及Q part,再將訊號I及Q部分相加得到輸出
Platform: | Size: 1973 | Author: v9469 | Hits:

[GUI Developshuzishibie

Description: 基于BP算法的vc++源程序 希望能通过啊 我急需网站上的一个程序啊 救命 站长 我qq350000301 -BP algorithm vc source ah, I hope that through the website of the urgent need for a life-saving procedure ah head I q q350000301
Platform: | Size: 71098 | Author: 爱护哈哈 | Hits:

[Other resourcegps_iq_model

Description: GPS接收机的i/q信号仿真模型,提供四种工作模式,可用于初始化、重启、更新、产生iq信号,以检验接收机的各项指标。-GPS receiver i / q signal simulation model offers four modes can be used to initialize the restart, updating, have iq signal receiver to test the various indicators.
Platform: | Size: 9498 | Author: 龙铮 | Hits:

[CommunicationQPSK_TX_IQ_RX_REV1

Description: QPSK Transmitter and I/Q Correlator Receiver is based on the technique of queing the information in a bit stream and on the receving end using FFT and some additive filters, as the addition of noise is made to infuse the need of a filter. Necessarily a Baseband filter is used. Modulation acheived at the receiving end. -QPSK Transmitter and I / Q Correlator Recei ver is based on the technique of the infor queing mation in a bit stream and on the receving end usi ng FFT and some additive filters, as the addition of noise is made to infuse the nee d of a filter. Necessarily a Baseband filter is u sed. Modulation achieved at the receiving end.
Platform: | Size: 4783 | Author: xiaoying | Hits:

[Otherstack__CQ

Description: VC STL 下STACK应用,是CONSOLE 程序的应用。加我Q:398754767。-under VC STL Application Stack is CONSOLE process applications. Plus I Q : 398754767.
Platform: | Size: 9630 | Author: 陈青 | Hits:

[CommunicationQPSK_TX_IQ_RX_REV1

Description: QPSK Transmitter and I/Q Correlator Receiver is based on the technique of queing the information in a bit stream and on the receving end using FFT and some additive filters, as the addition of noise is made to infuse the need of a filter. Necessarily a Baseband filter is used. Modulation acheived at the receiving end. -QPSK Transmitter and I/Q Correlator Recei ver is based on the technique of the infor queing mation in a bit stream and on the receving end usi ng FFT and some additive filters, as the addition of noise is made to infuse the nee d of a filter. Necessarily a Baseband filter is u sed. Modulation achieved at the receiving end.
Platform: | Size: 4096 | Author: xiaoying | Hits:

[matlabSqure2

Description: 对于多项式y(i) = b0 + b1 * x + b2 * x^2 + b3 * x^3 + ...... bn * x^n 用递推最小二乘法来估计上式中的b0, b1, ..., bn-for polynomial y (i) = b0 b1 b2* x* x* b3 ^ 2 x ^ 3 ...... bn* x ^ n recursive least squares method used to estimate the on-belts, b1, ..., bn
Platform: | Size: 1024 | Author: Zhang | Hits:

[Windows Developpicio_vc

Description: This example program shows the basics of using the PIC-I/O with NMCLIB04.DLL. It was created using Microsoft s Visual C++ .net. Source code is included.
Platform: | Size: 606208 | Author: cheer747 | Hits:

[SCMq-CODE

Description: 用单片机的I/O口象检测按键那样对其进行查询计数,在旋转速度较慢时,可以对其计数-With MCU I/O port as the detection of keys as its query count, slow rotating, you can count on their
Platform: | Size: 1024 | Author: dennis lee | Hits:

[AI-NN-PRAnt-Q

Description: 一个很好的蚁群算法程序,我读研的时候写的.-Ant colony algorithm a very good program, I wrote读研time.
Platform: | Size: 1149952 | Author: liuwei | Hits:

[matlabQPSK-I-Q

Description: matlab仿真程序,产生QPSK调制的信号,严格按照QPSK的调制步骤分I路Q路产生信号,最后两路相加,产生已调信号,调制信号随机产生,载波信号是余弦信号。-matlab simulation program to produce the QPSK modulation signal, in strict accordance with the QPSK modulation of the sub-step road Q road I have a signal, the sum of the last two, have been transferred signal, randomly generated modulated signal, carrier signal is a cosine signal.
Platform: | Size: 3072 | Author: duanaobest | Hits:

[Post-TeleCom sofeware systemsT-REC-Q[1].763-199912-I!!PDF-E.pdf

Description: ITU-T Q.763规范,定义了7号信令ISUP消息的格式,具体字段内容。-ITU-T Q.763 specification,defines Signalling System No. 7 – ISDN user part formats and codes
Platform: | Size: 656384 | Author: yaopeng | Hits:

[3G developsrc

Description: DQPSK modulation with XILINX FPGA. 2 level butterworth analog filter for I & Q D/A output. -DQPSK modulation with XILINX FPGA. 2 level butterworth analog filter for I & Q D/A output.
Platform: | Size: 60416 | Author: youker | Hits:

[Communication-MobileSoft_demapping_QPSK

Description: soft Demapping QPSK : LLR computation using Euclidian distance approach, Parallel-to-Serial converter : needs I and Q components of QPSK symbols at the input
Platform: | Size: 1024 | Author: IMM | Hits:

[Program docqam8_nograycode

Description: An 8-QAM communications channel simulation in Simulink, constructed from a 16-QAM model and using an I,Q correlation receiver. QAM (quadrature amplitude modulation) is a method of combining two amplitude-modulated (AM) signals into a single channel, thereby doubling the effective bandwidth. QAM is used with pulse amplitude modulation (PAM) in digital systems, especially in wireless applications. In a QAM signal, there are two carriers, each having the same frequency but differing in phase by 90 degrees (one quarter of a cycle, from which the term quadrature arises). One signal is called the ‘I’ signal, and the other is called the ‘Q’ signal. Mathematically, one of the signals can be represented by a sine wave, and the other by a cosine wave. The two modulated carriers are combined at the source for transmission. At the destination, the carriers are separated, the data is extracted from each, and then the data is combined into the original modulating information. -An 8-QAM communications channel simulation in Simulink, constructed from a 16-QAM model and using an I,Q correlation receiver. QAM (quadrature amplitude modulation) is a method of combining two amplitude-modulated (AM) signals into a single channel, thereby doubling the effective bandwidth. QAM is used with pulse amplitude modulation (PAM) in digital systems, especially in wireless applications. In a QAM signal, there are two carriers, each having the same frequency but differing in phase by 90 degrees (one quarter of a cycle, from which the term quadrature arises). One signal is called the ‘I’ signal, and the other is called the ‘Q’ signal. Mathematically, one of the signals can be represented by a sine wave, and the other by a cosine wave. The two modulated carriers are combined at the source for transmission. At the destination, the carriers are separated, the data is extracted from each, and then the data is combined into the original modulating information.
Platform: | Size: 11264 | Author: Griffin Wright | Hits:

[Algorithmcordic_atan

Description: 用verilog语言实现计算反正切函数,在软件无线电中解调PM/FM中使用的尤为频繁。上传的压缩包是modelsim工程,基于6.5c,里边包含一个完整的PM波产生以及解调过程的matlab文件仿真,并取其中间的I和Q支路做为verilog文件的输入,并将其借条输出与MATLAB实际解调输出作比较。 鉴相器的设计基于CORDIC算法,其精度取决于迭代的深度。由于工程实际运用只需要解调出atan值,并不需要绝对的值,所以并没有给予加权,需要的同学可以自己加上。-Calculated using verilog language arc tangent function, the software radio demodulation PM/FM is particularly used frequently. From the archive is modelsim project, based on 6.5c, inside the PM contains a complete demodulation process of wave generation and simulation matlab file, and whichever is the middle of the I and Q branch verilog file as input, and its IOU demodulated output and actual output of MATLAB for comparison. Phase detector design is based on CORDIC algorithm, its accuracy depends on the iteration depth. As the practical application of engineering demodulated atan value only and does not need absolute value, and there is no weight given to the need of the students can add their own.
Platform: | Size: 79872 | Author: Jorge | Hits:

[matlabDigitalRadio

Description: Project related to digital signal process and I/q imbalance related codings with BPSK modulation techniques
Platform: | Size: 3072 | Author: bala | Hits:

[VHDL-FPGA-Verilogofdmbaseband

Description: the OFDM PHY is adaptive therefore it supports multiple schemes BPSK, QPSK, 16-QAM and 64-QAM for data carriers’ modulation. The constellation diagrams are gray mapped and shows the magnitudes I and Q (In-phase and Quadrature) components of each incoming bit(s) combination along with their normalization factor C to calculate magnitude of each model
Platform: | Size: 1497088 | Author: san | Hits:

[Graph RecognizeExtract-I(q)-vs

Description: This function requires the input of a 2D matrix containing intensity values (double) corresponding to the x-ray scattering image (‘imagemat’). It returns I(q) vs. q in matrix ‘IvsQ’. It loads the experimental parameters saved in A.1. Start and end pixel counts can be adjusted as needed. It is important to resize matrices ‘allpixels’ and ‘sortedpix’ accordingly.
Platform: | Size: 1024 | Author: lucy | Hits:

[OtherAgilent MXG to I/Q

Description: Convert Agilent MXG file to I/Q waveform
Platform: | Size: 1491 | Author: moreasm | Hits:
« 12 3 4 5 6 7 8 9 10 ... 25 »

CodeBus www.codebus.net