Welcome![Sign In][Sign Up]
Location:
Search - ENC-03

Search list

[SCMProgram

Description: AVR自平衡车主程序,使用ENC-03陀螺仪和MMA7260作为传感器,内含卡尔曼滤波、PID-AVR owners of self-balancing process, the use of ENC-03 MMA7260 as gyroscopes and sensors, embedded Kalman filter, PID, etc.
Platform: | Size: 68079 | Author: testsb | Hits:

[Technology ManagementyadiantuoluoENC-03

Description: 微机械压电陀螺ENC-03的中文手册,为日本村田公司生产。-Piezoelectric micro-machined gyroscope ENC-03 Chinese language manual produced for the Japanese Murata.
Platform: | Size: 136192 | Author: 阿军 | Hits:

[Applicationsenc

Description: I have aatched C code for enc.
Platform: | Size: 1024 | Author: Bhaul | Hits:

[VC/MFCENC-03R_IcpdfCom_657460

Description: This product is an angular velocity sensor that uses the phenomenon of Coriolis force, which is generated when a rotational angular velocity is applied to the vibrator. Murata s original, small ceramic bimorph vibrator and simple Cap-Base structure realize their ultra-small size, under 0.1cc. Their small and lightweight shape increase flexibility of installment and help your apparatuses to be downsized. They are surface mountable device, can be mounted by automatic surface mounter.
Platform: | Size: 63488 | Author: 11 | Hits:

[JSP/JavaENC

Description: source code to encrypt and decrypt text (like password) that is sent in a network
Platform: | Size: 26624 | Author: nasavitirk | Hits:

[ARM-PowerPC-ColdFire-MIPSBalance-Car

Description: 使用了MEGA8,ENC-03,KXT19制作成的的两轮自平衡车,唯一功能就是自己平衡不倒。-used a mega8 ,enc-03 and kxt19 to make a TwoWheel-auto-balancing robot,the robot can make the balance itself!
Platform: | Size: 4096 | Author: 宋冬晓 | Hits:

[Software Engineeringenc

Description: 光电增量码盘的计数溢出处理,可以帮助学生及初学者对光电增量码盘的计数信号进行正确处理。 -Method for over/under flow of ENC
Platform: | Size: 9216 | Author: jzh | Hits:

[SCMProgram

Description: AVR自平衡车主程序,使用ENC-03陀螺仪和MMA7260作为传感器,内含卡尔曼滤波、PID-AVR owners of self-balancing process, the use of ENC-03 MMA7260 as gyroscopes and sensors, embedded Kalman filter, PID, etc.
Platform: | Size: 67584 | Author: kelvin | Hits:

[SCMourdev_608933JR01FI

Description: enc-03-mb陀螺仪的参考资料.e文的,很简单-Enc-03-mb gyroscopes references . E article, very simple
Platform: | Size: 504832 | Author: rod | Hits:

[OtherENC-03

Description: 飞思卡尔智能车 陀螺仪的控制方法 小车的站立-Freescale smart car gyroscope control car standing
Platform: | Size: 64512 | Author: 陈德清 | Hits:

[Other Embeded programENC_OLED

Description: 飞思卡尔单片机XS128 陀螺仪(ENC-03)OLED显示例程。 源码,作者自主开发,请勿用于商业用途-MC9S12XS128 ENC-03
Platform: | Size: 281600 | Author: | Hits:

[Successful incentiveENC-03-TWO-AXIS

Description: DATASHEET AND OTHER TING TO LEARN AND READ
Platform: | Size: 16271360 | Author: 严一 | Hits:

[OtherK60程序

Description: 飞思卡尔直立ccd用的是k60芯片用的是ENC-03陀螺仪(The freescale k60 upright car program)
Platform: | Size: 2779136 | Author: 230000 | Hits:

CodeBus www.codebus.net