Welcome![Sign In][Sign Up]
Location:
Search - DDS quartus

Search list

[Other resourcedds

Description: 实现dds功能,利用quartus软件, 子模块包括加法器,锁相环,date-rom 利用原图将各模块综合,利用ps2键盘控制频率及相位。
Platform: | Size: 2855099 | Author: lijingfeng | Hits:

[SCM多功能高精度信号发生器的设计

Description: 摘要:直接数字频率合成(DDS)是七十年代初提出的一种新的频率合技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速地发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提出了一种全新的设计模式。本设计结合这两项技术,并利用单片机控制灵活的特点,开发了一种新的函数波形发生器。在实现过程中,本设计选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用了AT89C51单片机作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具Quartus II并结合Verilog-HDL语言,采用硬件编程的方法很好地解决了这一问题。本文首先介绍了函数波形发生器的研究背景和DDS的理论。然后详尽地叙述了用EP1C6Q240C8完成DDS模块的设计过程,这是本设计的基础。接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。然后就这三个部分分别详细地进行了阐述。最后,通过系列实验,详细地说明了本设计的功能、性能、实现和实验结果。并结合在设计中的一些心得体会,提出了本设计中的一些不足和改进意见。通过实验说明,本设计达到了预定的要求,并证明了采用软硬件结合,利用DDS技术实现函数波形发生器的方法是可行的。 关键词:直接数字频率合成;现场可编程门阵列;函数波形发生器;频谱分析;仿真 含图原版论文
Platform: | Size: 2195647 | Author: nacker@126.com | Hits:

[Embeded-SCM Developddsquartus

Description: 使用QUARTUS 2编译的DDS的源码-QUARTUS use two compiled the DDS source
Platform: | Size: 533504 | Author: | Hits:

[VHDL-FPGA-VerilogDDS_VHDL_xzy

Description: 在EDA开发软件QuartusII上利用VHDL语言实现DDS信号发生器,芯片是Altera公司的-in EDA software development QuartusII use VHDL DDS signal generator , chip companies are Altera
Platform: | Size: 4760576 | Author: xiaoyong | Hits:

[VHDL-FPGA-Verilogshuzhijietiaoqu

Description: 基于FPGA的全数字调制解调器设计实例,包含有Matlab程序和Quartus程序-FPGA-based all-digital modem design example, contains the procedures and Quartus program Matlab
Platform: | Size: 656384 | Author: | Hits:

[SCMFPGAvsdds

Description: 只是源代码,没有编译过的,建议使用Quartus-Only the source code, not compiled, it is recommended the use of Quartus
Platform: | Size: 460800 | Author: 施立立 | Hits:

[VHDL-FPGA-Verilogdds

Description: 实现dds功能,利用quartus软件, 子模块包括加法器,锁相环,date-rom 利用原图将各模块综合,利用ps2键盘控制频率及相位。-Dds realize functions, using Quartus software, sub-modules including the adder, phase-locked loop, date-rom image to the module using integrated, using ps2 keyboard to control the frequency and phase.
Platform: | Size: 2854912 | Author: lijingfeng | Hits:

[OtherSinout

Description: dds正弦可控发生计全结果 用到matlab,dsp,Quartus II 6.0软件-dds controllable sinusoidal occurred wholly the result of use of matlab, dsp, Quartus II 6.0 software
Platform: | Size: 134144 | Author: linjun | Hits:

[VHDL-FPGA-VerilogDDS

Description: Quartus中实现的DDS 使用的是altera提供的IP core-DDS achieved Quartus using IP core provided by altera
Platform: | Size: 83968 | Author: ray | Hits:

[VHDL-FPGA-VerilogDDS

Description: 自己在Quartus下用VHDL编写的一个DDS程序。包括寄存器,累加器,波形存储器-In Quartus using VHDL procedures for the preparation of a DDS. Including the register, accumulator, waveform memory
Platform: | Size: 351232 | Author: ice | Hits:

[VHDL-FPGA-VerilogDDS

Description: 基于quartus的DDS,可以发生正弦波,方波,三角波,附带了顶层文件,注释在程序中-Quartus on the DDS, can occur sine wave, square wave, triangle wave, with the top-level documents, notes in the procedure
Platform: | Size: 77824 | Author: ivan | Hits:

[VHDL-FPGA-VerilogDDS

Description: 实现函数波形发生器的功能,内有用自己编的源代码实现的,也有用quartus的IP核实现的。-The realization of the function waveform generator function, useful for their own realization of the source code, it also uses the IP core quartus achieved.
Platform: | Size: 1251328 | Author: bluesky428 | Hits:

[VHDL-FPGA-Veriloglearn_dds

Description: 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置-Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used by their chip and pin set
Platform: | Size: 732160 | Author: 陈东旭 | Hits:

[VHDL-FPGA-Verilogtiaopin

Description: 开题报告,基于Quartus ii的DDS设计和实现。-Opening report, based on Quartus ii of DDS design and implementation.
Platform: | Size: 146432 | Author: fangming | Hits:

[VHDL-FPGA-Verilogdds

Description: DDS实验 matlab 与quartus 的完美结合-DDS experimental combination of matlab and quartus
Platform: | Size: 265216 | Author: ajunchen | Hits:

[VHDL-FPGA-Verilogdds

Description: 在quartus下的DDS设计,Verilog语言,可以产生正弦波、三角波、方波等,频率可调。-Under the DDS in quartus design, Verilog language, you can produce sine wave, triangle wave, square wave, frequency adjustable.
Platform: | Size: 2632704 | Author: 米多 | Hits:

[VHDL-FPGA-VerilogDDS

Description: 这是一个dds产生方波、三角波、正弦波的代码。请用Quartus II打开-This is a dds produce square wave, triangle wave, sine wave code. Please open the Quartus II
Platform: | Size: 809984 | Author: kydchen | Hits:

[VHDL-FPGA-Verilogquartus-dds

Description: quartus环境下利用原理图方式实现DDS的图文教程,主要掌握原理图输入,quartus仿真功能-quartus environment using the schematic approach of DDS graphic tutorial, the main control schematic, quartus simulation
Platform: | Size: 516096 | Author: ranshaoqiang | Hits:

[VHDL-FPGA-Verilogdds

Description: verilog语言编写,在Quartus II里仿真DDS的产生,包括所有仿真生成的相关文件,-verilog language in the Quartus II DDS in the generation of simulation, including all documents generated by the simulation,
Platform: | Size: 3013632 | Author: 颜小超 | Hits:

[VHDL-FPGA-Verilogdds

Description: 12位精度的DDS。quartus ii 编译通过。所有源代码正确,可用。-12 precision DDS. quartus ii compile. All source code is correct and available.
Platform: | Size: 79872 | Author: ecnu | Hits:
« 12 3 4 »

CodeBus www.codebus.net