Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: parameter_uart_rx Download
 Description: UART serial receiver module, through parameter, configuration parameters of the transmission rate, Data width and parity. Using Verilog. The user configured the parameters according to the serial port and configured FIFO according to the size of the buffer. The frame error (stop bit is not high), check errors, and read FIFO timeout (when FIFO is full,and new data come) and so on are examined.
 Downloaders recently: [More information of uploader YongZhiLi ]
 To Search:
File list (Check if you may need any files):
BPS_CV_GEN.v
DIV16_CNT.v
PARITY_CHECK.v
RXD_SEEKER.v
START_BIT_CHECK.v
UART_USER_MOD.v

CodeBus www.codebus.net