Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: divider Download
 Description: Frequency divider Arbitrary integer frequency can be achieved. Duty cycle is 50 , with reset terminal.
 Downloaders recently: [More information of uploader xdh]
 To Search:
File list (Check if you may need any files):
 

divider\db\divider.asm.qmsg
.......\..\divider.asm.rdb
.......\..\divider.cbx.xml
.......\..\divider.cmp.bpm
.......\..\divider.cmp.cdb
.......\..\divider.cmp.ecobp
.......\..\divider.cmp.hdb
.......\..\divider.cmp.kpt
.......\..\divider.cmp.logdb
.......\..\divider.cmp.rdb
.......\..\divider.cmp.tdb
.......\..\divider.cmp0.ddb
.......\..\divider.cmp_merge.kpt
.......\..\divider.db_info
.......\..\divider.eco.cdb
.......\..\divider.eda.qmsg
.......\..\divider.eds_overflow
.......\..\divider.fit.qmsg
.......\..\divider.hier_info
.......\..\divider.hif
.......\..\divider.lpc.html
.......\..\divider.lpc.rdb
.......\..\divider.lpc.txt
.......\..\divider.map.bpm
.......\..\divider.map.cdb
.......\..\divider.map.ecobp
.......\..\divider.map.hdb
.......\..\divider.map.kpt
.......\..\divider.map.logdb
.......\..\divider.map.qmsg
.......\..\divider.map_bb.cdb
.......\..\divider.map_bb.hdb
.......\..\divider.map_bb.logdb
.......\..\divider.pre_map.cdb
.......\..\divider.pre_map.hdb
.......\..\divider.rtlv.hdb
.......\..\divider.rtlv_sg.cdb
.......\..\divider.rtlv_sg_swap.cdb
.......\..\divider.sgdiff.cdb
.......\..\divider.sgdiff.hdb
.......\..\divider.sim.cvwf
.......\..\divider.sim.hdb
.......\..\divider.sim.qmsg
.......\..\divider.sim.rdb
.......\..\divider.sld_design_entry.sci
.......\..\divider.sld_design_entry_dsc.sci
.......\..\divider.smart_action.txt
.......\..\divider.syn_hier_info
.......\..\divider.tan.qmsg
.......\..\divider.tis_db_list.ddb
.......\..\divider.tmw_info
.......\..\logic_util_heursitic.dat
.......\..\prev_cmp_divider.asm.qmsg
.......\..\prev_cmp_divider.eda.qmsg
.......\..\prev_cmp_divider.fit.qmsg
.......\..\prev_cmp_divider.map.qmsg
.......\..\prev_cmp_divider.qmsg
.......\..\prev_cmp_divider.sim.qmsg
.......\..\prev_cmp_divider.tan.qmsg
.......\..\wed.wsf
.......\divider.asm.rpt
.......\divider.done
.......\divider.eda.rpt
.......\divider.fit.rpt
.......\divider.fit.smsg
.......\divider.fit.summary
.......\divider.flow.rpt
.......\divider.map.rpt
.......\divider.map.summary
.......\divider.pin
.......\divider.pof
.......\divider.qpf
.......\divider.qsf
.......\divider.qws
.......\divider.sim.rpt
.......\divider.sof
.......\divider.tan.rpt
.......\divider.tan.summary
.......\divider.v
.......\divider.v.bak
.......\divider.vwf
.......\even.v
.......\incremental_db\compiled_partitions\divider.root_partition.cmp.cdb
.......\..............\...................\divider.root_partition.cmp.dfp
.......\..............\...................\divider.root_partition.cmp.hdb
.......\..............\...................\divider.root_partition.cmp.kpt
.......\..............\...................\divider.root_partition.cmp.logdb
.......\..............\...................\divider.root_partition.cmp.rcfdb
.......\..............\...................\divider.root_partition.cmp.re.rcfdb
.......\..............\...................\divider.root_partition.map.cdb
.......\..............\...................\divider.root_partition.map.dpi
.......\..............\...................\divider.root_partition.map.hdb
.......\..............\...................\divider.root_partition.map.kpt
.......\..............\README
.......\odd.v
.......\odd.v.bak
.......\simulation\modelsim\divider.sft
.......\..........\........\divider.vo
.......\..........\........\divider_modelsim.xrf
.......\..........\........\divider_v.sdo
    

CodeBus www.codebus.net