Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop hardware design
Title: ff_transform Download
 Description: Using FPGA to achieve FFT, through state machine control, analysis signal frequency domain information
 Downloaders recently: [More information of uploader zou]
 To Search:
File list (Check if you may need any files):
 

ff_transform
............\db
............\..\.cmp.kpt
............\..\a_dpfifo_no81.tdf
............\..\add_sub_lnj.tdf
............\..\add_sub_onj.tdf
............\..\altsyncram_0ou3.tdf
............\..\altsyncram_2e81.tdf
............\..\altsyncram_6491.tdf
............\..\altsyncram_7491.tdf
............\..\altsyncram_8491.tdf
............\..\altsyncram_9aa1.tdf
............\..\altsyncram_b491.tdf
............\..\altsyncram_c491.tdf
............\..\altsyncram_cb81.tdf
............\..\altsyncram_d491.tdf
............\..\altsyncram_ssf1.tdf
............\..\cmpr_gs8.tdf
............\..\cmpr_pgc.tdf
............\..\cmpr_qgc.tdf
............\..\cmpr_rgc.tdf
............\..\cntr_0rf.tdf
............\..\cntr_ao7.tdf
............\..\cntr_apf.tdf
............\..\cntr_qqf.tdf
............\..\cntr_tnb.tdf
............\..\cntr_unb.tdf
............\..\ded_mult_8591.tdf
............\..\dffpipe_a3c.tdf
............\..\fft_test.asm.qmsg
............\..\fft_test.asm.rdb
............\..\fft_test.asm_labs.ddb
............\..\fft_test.autoh_e40e1.map.reg_db.cdb
............\..\fft_test.cbx.xml
............\..\fft_test.cmp.bpm
............\..\fft_test.cmp.cdb
............\..\fft_test.cmp.hdb
............\..\fft_test.cmp.idb
............\..\fft_test.cmp.logdb
............\..\fft_test.cmp.rdb
............\..\fft_test.cmp_merge.kpt
............\..\fft_test.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
............\..\fft_test.cycloneive_io_sim_cache.45um_ii_1200mv_0c_slow.hsd
............\..\fft_test.cycloneive_io_sim_cache.45um_ii_1200mv_85c_slow.hsd
............\..\fft_test.db_info
............\..\fft_test.eda.qmsg
............\..\fft_test.fit.qmsg
............\..\fft_test.hier_info
............\..\fft_test.hif
............\..\fft_test.ipinfo
............\..\fft_test.lpc.html
............\..\fft_test.lpc.rdb
............\..\fft_test.lpc.txt
............\..\fft_test.map.ammdb
............\..\fft_test.map.bpm
............\..\fft_test.map.cdb
............\..\fft_test.map.hdb
............\..\fft_test.map.kpt
............\..\fft_test.map.logdb
............\..\fft_test.map.qmsg
............\..\fft_test.map.rdb
............\..\fft_test.map_bb.cdb
............\..\fft_test.map_bb.hdb
............\..\fft_test.map_bb.logdb
............\..\fft_test.nabbo_fd801.map.reg_db.cdb
............\..\fft_test.npp.qmsg
............\..\fft_test.pre_map.hdb
............\..\fft_test.pti_db_list.ddb
............\..\fft_test.root_partition.map.reg_db.cdb
............\..\fft_test.routing.rdb
............\..\fft_test.rtlv.hdb
............\..\fft_test.rtlv_sg.cdb
............\..\fft_test.rtlv_sg_swap.cdb
............\..\fft_test.sgate.nvd
............\..\fft_test.sgate_sm.nvd
............\..\fft_test.sgdiff.cdb
............\..\fft_test.sgdiff.hdb
............\..\fft_test.sld_design_entry.sci
............\..\fft_test.sld_design_entry_dsc.sci
............\..\fft_test.smart_action.txt
............\..\fft_test.smp_dump.txt
............\..\fft_test.sta.qmsg
............\..\fft_test.sta.rdb
............\..\fft_test.sta_cmp.7_slow_1200mv_85c.tdb
............\..\fft_test.tis_db_list.ddb
............\..\fft_test.tiscmp.fast_1200mv_0c.ddb
............\..\fft_test.tiscmp.slow_1200mv_0c.ddb
............\..\fft_test.tiscmp.slow_1200mv_85c.ddb
............\..\fft_test.tmw_info
............\..\fft_test.vpr.ammdb
............\..\logic_util_heursitic.dat
............\..\mult_add_jgq2.tdf
............\..\mult_add_khq2.tdf
............\..\prev_cmp_fft_test.qmsg
............\..\scfifo_udh1.tdf
............\..\shift_taps_a8s.tdf
............\..\shift_taps_fmm.tdf
............\..\shift_taps_pnm.tdf
............\fft-library
............\...........\apn_fft_cmult_cpx2_fft_131.vhd
    

CodeBus www.codebus.net