Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: CD1_PHOTO_ABLUM_1280 Download
 Description: FPGA NIOS 1280Mp camera application
 Downloaders recently: [More information of uploader 叶永斌]
 To Search:
File list (Check if you may need any files):
 

CD1_PHOTO_ABLUM_1280\FPGA\.sopc_builder\filters.xml
....................\....\.............\install.ptf
....................\....\.............\install2.ptf
....................\....\.............\preferences.xml
....................\....\CD1_PHOTO_ABLUM_1280.asm.rpt
....................\....\CD1_PHOTO_ABLUM_1280.cdf
....................\....\CD1_PHOTO_ABLUM_1280.done
....................\....\CD1_PHOTO_ABLUM_1280.fit.rpt
....................\....\CD1_PHOTO_ABLUM_1280.fit.smsg
....................\....\CD1_PHOTO_ABLUM_1280.fit.summary
....................\....\CD1_PHOTO_ABLUM_1280.flow.rpt
....................\....\CD1_PHOTO_ABLUM_1280.jdi
....................\....\CD1_PHOTO_ABLUM_1280.map.rpt
....................\....\CD1_PHOTO_ABLUM_1280.map.smsg
....................\....\CD1_PHOTO_ABLUM_1280.map.summary
....................\....\CD1_PHOTO_ABLUM_1280.pin
....................\....\CD1_PHOTO_ABLUM_1280.pof
....................\....\CD1_PHOTO_ABLUM_1280.qpf
....................\....\CD1_PHOTO_ABLUM_1280.qsf
....................\....\CD1_PHOTO_ABLUM_1280.sdc
....................\....\CD1_PHOTO_ABLUM_1280.sof
....................\....\CD1_PHOTO_ABLUM_1280.sta.rpt
....................\....\CD1_PHOTO_ABLUM_1280.sta.summary
....................\....\CD1_PHOTO_ABLUM_1280.v
....................\....\CONTROL.v
....................\....\cpu_0.ocp
....................\....\cpu_0.sdc
....................\....\cpu_0.v
....................\....\cpu_0_bht_ram.mif
....................\....\cpu_0_dc_tag_ram.mif
....................\....\cpu_0_ic_tag_ram.mif
....................\....\cpu_0_jtag_debug_module_sysclk.v
....................\....\cpu_0_jtag_debug_module_tck.v
....................\....\cpu_0_jtag_debug_module_wrapper.v
....................\....\cpu_0_mult_cell.v
....................\....\cpu_0_ociram_default_contents.mif
....................\....\cpu_0_oci_test_bench.v
....................\....\cpu_0_rf_ram_a.mif
....................\....\cpu_0_rf_ram_b.mif
....................\....\cpu_0_test_bench.v
....................\....\epcs_flash_controller_0.v
....................\....\epcs_flash_controller_0_boot_rom.hex
....................\....\epcs_flash_controller_0_boot_rom_synth.hex
....................\....\greybox_tmp\cbx_args.txt
....................\....\Image_RW_0.v
....................\....\.P\Image_RW\Image_RW.v
....................\....\..\........\Image_RW_hw.tcl
....................\....\..\........\Image_RW_hw.tcl~
....................\....\..\SRAM_16Bit_512K\hdl\SRAM_16Bit_512K.v
....................\....\..\...............\SRAM_16Bit_512K_hw.tcl
....................\....\jtag_uart_0.v
....................\....\KEY.v
....................\....\LED.v
....................\....\nios.bsf
....................\....\nios.html
....................\....\nios.ptf
....................\....\nios.ptf.8.0
....................\....\nios.ptf.pre_generation_ptf
....................\....\nios.qip
....................\....\nios.sopc
....................\....\nios.sopcinfo
....................\....\nios.v
....................\....\nios_clock_0.v
....................\....\nios_clock_1.v
....................\....\nios_generation_script
....................\....\nios_inst.v
....................\....\nios_log.txt
....................\....\.....sim\atail-f.pl
....................\....\........\dummy_file
....................\....\........\jtag_uart_0_input_mutex.dat
....................\....\........\jtag_uart_0_input_stream.dat
....................\....\........\jtag_uart_0_output_stream.dat
....................\....\PIO.v
....................\....\PLL108.ppf
....................\....\PLL108.qip
....................\....\PLL108.v
....................\....\PLL50.ppf
....................\....\PLL50.qip
....................\....\PLL50.v
....................\....\PLLJ_PLLSPE_INFO.txt
....................\....\sdram_0.v
....................\....\Sdram_FIFO.qip
....................\....\Sdram_PLL.qip
....................\....\sopc_add_qip_file.tcl
....................\....\sopc_builder_log.txt
....................\....\SPI_CONFIG.v
...........

CodeBus www.codebus.net